电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

三星使用EUV成功完成5nm FinFET工艺开发

16日,三星电子宣布在基于EUV的高级节点方面取得了重大进展,包括7nm批量生产和6nm客户流片,以及成功完成5nm FinFET工艺开发。 三星电子宣布其5纳米(nm)FinFET工艺技术开发
2019-04-18 15:48:476010

Cadence携手TSMC开发3D IC设计基础架构

全球电子设计创新企业Cadence设计系统公司日前宣布其与TSMC在3D IC设计基础架构开发方面的合作
2012-06-11 09:47:431071

Synopsys提供基于FinFET技术的半导体设计综合解决方案

新思科技公司(Synopsys)在过去五年多与行业领导者合作共同开发了对FinFET技术的支持,通过提供经生产验证的设计工具与IP来推进对FinFET技术的采用。
2013-02-19 10:42:54823

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于台积电16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441509

Altera与台积在55纳米嵌入式闪存工艺技术领域展开合作

Altera公司与台积公司今日共同宣布在55纳米嵌入式闪存 (EmbFlash) 工艺技术上展开合作,Altera公司将采用台积公司的55纳米前沿嵌入式闪存工艺技术生产可程序器件,广泛支持汽车及工业等各类市场的多种低功耗、大批量应用。
2013-04-16 09:05:09925

Cadence设计工具通过台积电16nm FinFET制程认证

Cadence系统芯片开发工具已经通过台积电(TSMC) 16纳米 FinFET制程的设计参考手册第0.1版与 SPICE 模型工具认证,客户现在可以享用Cadence益华电脑流程为先进制程所提供的速度、功耗与面积优势。
2013-06-06 09:26:451236

16纳米来了!台积电试产16nm FinFET Plus

昨日台积电官方宣布,16nm FinFET Plus(简称16FF+)工艺已经开始风险性试产。16FF+是标准的16nm FinFET的增强版本,同样有立体晶体管技术在内,号称可比20nm SoC平面工艺性能提升最多40%,或者同频功耗降低最多50%。
2014-11-14 09:31:582127

三星10纳米芯片制造工艺助力处理器升级

在国际电子电路研讨会大会(ISSCC)上,三星展示了采用10纳米FinFET工艺技术制造的300mm晶圆,这表明三星10纳米FinFET工艺技术最终基本定型。
2015-05-28 10:25:271715

格芯为高性能应用推出全新12纳米 FinFET技术

12纳米领先性能(12LP)的FinFET半导体制造工艺。该技术预计将提高当前代14纳米 FinFET产品的密度和性能,同时满足从人工智能、虚拟现实到高端智能手机、网络基础设施等最具计算密集型处理需求的应用。 这项全新的12LP技术与当前市场上的16 /14纳米 FinFET解决方案相比,电路密度提高
2017-09-25 16:12:368666

联电联手AVALANCHE 合作开发28纳米MRAM技术

据台湾经济日报最新消息,联电(2303)与下一代ST-MRAM(自旋转移力矩磁阻RAM)领导者美商Avalanche共同宣布,合作技术开发MRAM及相关28纳米产品;联电即日起透过授权,提供客户具有成本效益的28纳米嵌入式非挥发性MRAM技术
2018-08-09 10:38:123129

中芯国际上半年营收17.22亿美元 14纳米FinFET技术获重大进展

8月30日,中芯国际发布2018年中期业绩,收入同比增长11.5%至17.22亿美元;毛利同比增长5.6%至4.38亿美元。中芯国际在14纳米FinFET技术开发上获得重大进展。中芯国际的第一代FinFET技术研发已进入客户导入阶段。
2018-08-31 14:44:335140

2020年半导体制造工艺技术前瞻

  晶体管制造工艺在近年来发展得不是非常顺利,行业巨头英特尔的主流产品长期停滞在14nm上,10nm工艺性能也迟迟得不到改善。、三星等巨头虽然在积极推进7nm乃至5nm工艺,但是其频率和性能
2020-07-07 11:38:14

FinFET(鳍型MOSFET)简介

增强;同时也极大地减少了漏电流的产生,这样就可以和以前一样继续进一步减小Gate宽度。目前三星和在其14/16nm这一代工艺都开始采用FinFET技术。图6:Intel(左:22nm)和Samsung(右:14nm)Fin鳍型结构注:图3、图6的图片来于网络。
2017-01-06 14:46:20

0.18工艺电源电压分别是多少?

0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

5nm架构设计试产

宣布5nm基本完工开始试产:面积缩小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

或将“独吞”A7大单

有机会“独吞”A7代工订单。  作为全球规模最大的专业集成电路制造公司,其技术优势的领先,在业界可谓屈指可数。积极开发20纳米制程,花旗环球证券指出,在技术领先MAX3232EUE+T优势下,未来1
2012-09-27 16:48:11

电量产安徽iPhone 8用大时代10nmA11芯片可靠吗

正在大量生产用于苹果iPhone8手机的10nm A11处理器。消息称,苹果可能在下个月初正式发布iPhone 8,但是具体发货日期仍然不确定。  据悉,已经采用10nm FinFET
2017-08-17 11:05:18

EMC设计、工艺技术基本要点和问题处理流程

EMC设计、工艺技术基本要点和问题处理流程推荐给大家参考。。
2015-08-25 12:05:04

GF退出7纳米大战 三国鼎立下中国芯路在何方

技术开发成功,同时透露会朝第二代的 FinFET 技术开发。若***一举朝 7 纳米前进,将会成为全球第四家 7 纳米技术供应商,与英特尔、、三星分庭抗礼。同时,华为海思的麒麟980也抢先发布,首款
2018-09-05 14:38:53

Sic mesfet工艺技术研究与器件研究

Sic mesfet工艺技术研究与器件研究针对SiC 衬底缺陷密度相对较高的问题,研究了消除或减弱其影响的工艺技术并进行了器件研制。通过优化刻蚀条件获得了粗糙度为2?07 nm的刻蚀表面;牺牲氧化
2009-10-06 09:48:48

[转]16nm FinFET Plus及InFO WLP 通吃英特尔苹果

苹果晶圆代工龙头16纳米鳍式场效晶体管升级版(FinFET Plus)将在明年1月全产能量产,搭配整合型扇出晶圆尺寸封装(InFO WLP)的系统级封装(SiP)技术,在x86及ARM架构64位
2014-05-07 15:30:16

openEuler 社区完成首批顾问专家聘用,共同为社区的发展​贡献力量

:为把openEuler 打造成全球顶尖的技术软件,我们不仅仅要和国内的开发者社区和基金会合作,还要和国际上先进的开发者社区和基金会合作,让他们愿意参与进来,共创 openEuler 社区。我愿意
2022-07-29 10:11:11

【AD新闻】百万片订单大洗牌!或成高通新一代PMIC芯片最大供应商

,未来就要看竞争对手的制程技术能否赶得上脚步。 近期高通与持续紧密合作,业界传出在最先进的7纳米制程技术上,因为技术开发领先三星电子(Samsung Electronics),可望拿回高通7
2017-09-22 11:11:12

【AD新闻】竞争激烈!中芯抢高通芯片订单

了高通的订单。之后,中芯国际凭借极具竞争力的价格从Globalfoundries手中夺走了订单,成为高通电源管理芯片的主要合作伙伴。我们知道,在高通的帮助下,中芯国际实现了28nm工艺量产,而且还加快14nm硅片的量产。由于产能、价格及新芯片技术的原因,此次高通将电源管理芯片交给了生产。
2017-09-27 09:13:24

【集成电路】10nm技术节点大战

。这场战役两家大厂互有消长,首先是三星的14nm较16nm抢先半年投入量产,因两家大厂的鳍式晶体管(FinFET)设计也确有雷同之处,后续又衍生了竞业禁止官司诉讼等故事,无论如何,最终还是
2018-06-14 14:25:19

两公司合作开发纳米管生物传感器

  Nano-Proprietary旗下的Applied Nanotech公司与Funai Electric先进应用技术研究所日前宣布,双方将针对一个研究项目进行合作共同开发基于酶涂层碳纳米
2018-11-19 15:20:44

使用CPLD技术开发PCI板卡有什么优点?

CPLD技术在PCI总线开关中的应用使用CPLD技术开发PCI板卡有什么优点
2021-04-08 06:47:28

刚柔性PCB制造工艺技术的发展趋势

PCB部件使用PI膜作为柔性芯板,并覆盖聚酰亚胺或丙烯酸膜。粘合剂使用低流动性预浸料,最后将这些基材层压在一起以制成刚挠性PCB。刚柔性PCB制造工艺技术的发展趋势:未来,刚柔结合PCB将朝着超薄,高密度
2019-08-20 16:25:23

半导体工艺技术的发展趋势

  业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-07-05 08:13:58

半导体工艺技术的发展趋势是什么?

业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-08-20 08:01:20

半导体发展的四个时代

交给代工厂来开发和交付。是这一阶段的关键先驱。 半导体的第四个时代——开放式创新平台 仔细观察,我们即将回到原点。随着半导体行业的不断成熟,工艺复杂性和设计复杂性开始呈爆炸式增长。工艺技术
2024-03-13 16:52:37

各类常用工艺,中芯国际,华润上华

各类常用工艺,中芯国际,华润上华
2015-12-17 19:52:34

基于FinFET IP的数据转换器设计

工艺技术的演进遵循摩尔定律,这是这些产品得以上市的主要促成因素。对整个行业来说,从基于大体积平面晶体管向FinFET三维晶体管的过渡是一个重要里程碑。这一过渡促使工艺技术经过了几代的持续演进,并且减小
2019-07-17 06:21:02

如何利用TI DLP Pico 技术开发头戴式显示应用?

如何利用TI DLP Pico 技术开发头戴式显示应用?为什么要选择DLP Pico技术开发HMD应用?
2021-06-01 06:52:55

如何利用赛灵思28纳米工艺加速平台开发

一半,而性能提高两倍。通过选择一个高性能低功耗的工艺技术,一个覆盖所有产品系列的、统一的、可扩展的架构,以及创新的工具,赛灵思将最大限度地发挥 28 纳米技术的价值, 为客户提供具备 ASIC 级功能
2019-08-09 07:27:00

如何通过软件无线架构加速无线技术开发与测试?

如何通过软件无线架构加速无线技术开发与测试?如何应对无线标准和技术的快速更新?
2021-05-07 07:05:26

提高多层板层压品质工艺技术总结,不看肯定后悔

如何提高多层板层压品质在工艺技术
2021-04-25 09:08:11

日进3.3亿,年狂挣千亿的,为何还涨价?

本帖最后由 华强芯城 于 2023-3-17 09:16 编辑 晶圆代工巨头——近日传出涨价20%的消息,业内轰动。这是继2020年底上涨超10%之后,一年之内,又一次的大幅上涨
2021-09-02 09:44:44

晶圆凸起封装工艺技术简介

工艺技术可用于晶圆凸起,每种技术有各自的优缺点。其中金线柱焊接凸点和电解或化学镀金焊接凸点主要用于引脚数较少的封装应用领域包括玻璃覆晶封装、软膜覆晶封装和RF模块。由于这类技术材料成本高、工序
2011-12-01 14:33:02

电子行业人士带你入行之纳米制程小白篇

的小珠子,使其最后形成一个10X5比例的长方形。从这个实验不难看出,要达成这个目标非常不容易,由此可以了解到,各大厂面临的困境有多么艰难。三星和都在完成14 纳米16 纳米 FinFET 的量产
2016-06-29 14:49:15

电子行业人士带你入行之纳米制程小白篇

的长方形。从这个实验不难看出,要达成这个目标非常不容易,由此可以了解到,各大厂面临的困境有多么艰难。三星和都在完成14 纳米16 纳米 FinFET 的量产,并以此为资本争夺下一代iPhone
2016-12-16 18:20:11

穿戴电子开发项目,资金雄厚可技术合作共同开发

穿戴电子开发项目,可技术合作共同开发!各位网友大家好,明年是穿戴式电子元年,google glass 年底上市注定会刮起一阵电子穿戴风,穿戴电子市场有很大的发展空间,必定会为合作伙伴带来机会,我们
2013-06-29 17:35:47

苹果芯片供应商名单曝光后 三星哭了!

,所以只能以旧工艺16nm制程)制造A10处理器。除此之外,还将独家代工重大变化的2017年版iPhone采用的A11处理器。据称A11芯片将采用10纳米FinFET工艺,最早有望于明年二季度
2016-07-21 17:07:54

工艺制程,Intel VS谁会赢?

生产。如果真的能够完全按照这一时间展开工作的话,那么就将使该公司彻底走在了芯片制造领域的最前端。  目前,业内设备制造厂商大多刚刚开始拥抱14纳米芯片工艺,苹果最新的iPhone 6s系列就是
2016-01-25 09:38:11

请教腐蚀工艺的相关工艺流程及技术员的职责

请详细叙述腐蚀工艺工段的工艺流程以及整个前道的工艺技术
2011-04-13 18:34:13

媒:2纳米预计2025年量产

纳米量产行业芯事时事热点
电子发烧友网官方发布于 2022-09-13 14:32:34

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米 上海2009年10月14日电  -- 中芯国际集成电路制造有限公司(“中芯国际”,纽约
2009-10-15 08:22:44793

中芯国际(SMIC)和Cadence 共同推出用于65纳米

中芯国际(SMIC)和Cadence 共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 完全集成的能效型流程令快速、轻松地设计低功耗尖端器件成为可能
2009-10-31 07:48:011228

中芯国际(SMIC)和Cadence共同推出用于65纳米的低

中芯国际(SMIC)和Cadence共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 全球电子设计创新领先企业Cadence设计系统公司今天宣布推出一款全面的低功耗设计流程,面向
2009-11-04 17:05:17589

高通携手TSMC,继续28纳米工艺合作

高通携手TSMC,继续28纳米工艺合作 高通公司(Qualcomm Incorporated)与其专业集成电路制造服务伙伴-TSMC前不久日共同宣布,双方正在28纳米工艺技术进行密切合作。此
2010-01-13 08:59:23910

新思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、

新思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、获得USB标志认证的DesignWareUSB 2.0 nanoPHY 通过芯片验证的DesignWare PHY IP
2010-05-20 17:39:09588

IBM与ARM将合作开发14纳米半导体技术

据外国媒体报道,IBM和ARM计划加强移动电子市场合作的同时,还会共同合作提高14纳米半导体技术
2011-01-19 08:09:55330

中国IC设计公司聚焦世界领先的28纳米技术

中国顶尖IC设计公司已经采用了28纳米尖端技术开发芯片,而9.2% 本地无晶圆厂半导体公司亦采用先进的45纳米或以下的工艺技术进行设计及大规模量产。
2011-09-07 11:23:501556

中国采用28纳米技术开发芯片

中国顶尖设计公司已经采用28纳米尖端技术开发芯片,而本地9.2%无晶圆厂半导体公司亦采用先进的45纳米或以下的工艺技术进行设计及大规模量产
2011-09-13 09:00:403212

Crocus与中芯国际签署技术开发和晶圆制造协议

Crocus科技和中芯国际正式签署合作技术开发和晶圆制造协议。共同研发高温MLU的应用技术
2011-12-09 19:04:21637

集成电路里程碑:三星与Cadence合推20纳米设计方法

Cadence与三星的合作为移动消费电子产品带来了新的工艺进展,使得20纳米及未来工艺节点设计成为可能。
2012-06-10 10:43:54984

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,台积电会继续先进工艺技术节点的投入和开发,今年年底台积电将推出20nm工艺
2012-08-30 14:34:301782

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

Cadence采用FinFET技术流片14纳米芯片

该14纳米产品体系与芯片是ARM、Cadence与IBM之间在14纳米及以上高级工艺节点上开发系统级芯片(SoC)多年努力的重要里程碑。使用FinFET技术以14纳米标准设计的SoC能够大幅降低功耗。 这
2012-11-16 14:35:551270

三星与Synopsys合作实现首次14纳米FinFET成功流片

新思科技公司日前宣布:该公司与三星在FinFET技术上的多年合作已经实现了一个关键性的里程碑,即采用三星的14LPE工艺成功实现了首款测试芯片的流片
2013-01-09 12:11:311062

Xilinx与台积电合作采用16FinFET工艺,打造高性能FPGA器件

,采用台积公司先进的16纳米FinFET16FinFET工艺打造拥有最快上市、最高性能优势的FPGA器件。
2013-05-29 18:21:14869

联华电子与SuVolta宣布联合开发28纳米低功耗工艺技术

日前,联华电子与SuVolta公司宣布联合开发28纳米工艺技术,该工艺将SuVolta的SuVolta的Deeply Depleted Channel晶体管技术集成到联华电子的28纳米High-K/Metal Gate高效能移动工艺
2013-07-25 10:10:521049

华力微电子与Cadence共同宣布交付55纳米平台的参考设计流程

全球电子创新设计Cadence公司与上海华力微电子,15日共同宣布了华力微电子基于Cadence Encounter数字技术交付55纳米平台的参考设计流程。华力微电子首次在其已建立55纳米工艺上实现了从RTL到GDSII的完整流程。
2013-08-16 11:08:111383

华力微电子基于Cadence Encounter开发55纳米平台的参考设计流程

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)与上海华力微电子有限公司,今天共同宣布华力微电子基于Cadence ® Encounter® 数字技术交付出55纳米平台的参考设计流程。
2013-08-16 12:02:401445

Cadence与GLOBALFOUNDRIES宣布最新合作成果

益华电脑宣布,晶圆代工业者GLOBALFOUNDRIES已经认证Cadence实体验证系统适用于65nm至14nm FinFET制程技术的客制/类比、数位与混合讯号设计实体signoff。同时
2014-03-25 09:33:50862

Cadence宣布推出基于台积电16纳米FinFET制程DDR4 PHY IP

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,立即推出基于台积电16纳米FinFET制程的DDR4 PHY IP(知识产权)。
2014-05-21 09:44:541769

台积电采用CadenceFinFET单元库特性分析解决方案

全球知名电子设计创新领先公司Cadence设计系统公司 (NASDAQ: CDNS),今日宣布台积电采用了Cadence®16纳米FinFET单元库特性分析解决方案。
2014-10-08 19:03:221594

Cadence数字与定制/模拟工具获台积电认证 合作开发FinFET工艺

设计参考手册(Design Rule Manual,DRM) 与SPICE认证,相比于原16纳米FinFET制程,可以使系统和芯片公司通过此新工艺在同等功耗下获得15%的速度提升、或者在同等速度下省电30%。
2014-10-08 19:10:45663

Cadence为台积电16纳米FinFET+制程推出IP组合

美国加州圣何塞(2014年9月26日)-全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布为台积电16纳米FinFET+ 制程推出一系列IP组合。
2014-10-08 19:19:22919

三星宣布第2代14纳米FinFET工艺技术投入量产

三星于2015年第一季度发布了半导体芯片行业首款采用14nmLPE (Low-Power Early) 工艺量产的Exynos 7 Octa处理器,成为FinFET逻辑制程上的行业引领者。
2016-01-15 17:12:47927

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:541026

ARM携手台积电打造多核10纳米FinFET测试芯片 推动前沿移动计算未来

  2016年5月19日,北京讯——ARM今日发布了首款采用台积电公司(TSMC)10纳米FinFET工艺技术的多核 64位 ARM®v8-A 处理器测试芯片。仿真基准检验结果显示,相较于目前常用于多款顶尖智能手机计算芯片的16纳米FinFET+工艺技术,此测试芯片展现更佳运算能力与功耗表现。
2016-05-19 16:41:50662

震撼!Xilinx宣布与TSMC开展7nm工艺合作

确保连续四代全可编程技术及多节点扩展的领先优势四代先进工艺技术和3D IC以及第四代FinFET技术合作 2015年5月28日, 中国北京 - All Programmable 技术和器件的全球领先
2017-02-09 03:48:04198

Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台

2017年4月18日,中国上海 – 楷登电子(美国Cadence公司,NASDAQ: CDNS)今日正式发布针对7nm工艺的全新Virtuoso® 先进工艺节点平台。通过与采用7nm FinFET
2017-04-18 11:09:491165

三星10nm工艺技术已经在Galaxy S8上提供支持

三星10纳米工艺技术公告:全球领先的三星电子先进的半导体元器件技术正式宣布,其第二代10纳米(nm)FinFET工艺技术,10LPP(Low Power Plus)已经合格并准备就绪用于批量生产。
2017-05-03 01:00:11580

Cadence数字、签核与定制/模拟工具助力实现三星7LPP和8LPP工艺技术

2017年6月2日,上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS) 今日宣布其数字、签核与定制/模拟工具成功在三星电子公司7LPP和8LPP工艺技术上实现。较前代高阶工艺
2017-06-02 16:04:341237

4巨头强强联手合作开发7纳米工艺CCIX测试芯片

赛灵思、Arm、Cadence和台积公司今日宣布一项合作,将共同构建首款基于台积7纳米FinFET工艺的支持芯片间缓存一致性(CCIX)的加速器测试芯片,并计划在2018年交付
2017-09-23 10:32:124003

浅析TSMC和FinFET工艺技术的Mentor解决方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工艺的认证。Nitro-SoCTM 布局和布线系统也通过了认证,可以支持 TSMC 的 12FFC 工艺技术
2017-10-11 11:13:422372

什么是FinFETFinFET的工作原理是什么?

在2011年初,英特尔公司推出了商业化的FinFET,使用在其22纳米节点的工艺上[3]。从IntelCorei7-3770之后的22纳米的处理器均使用了FinFET技术。由于FinFET具有
2018-07-18 13:49:00119524

楷登电子公布与台湾积体电路公司全新 12FFC 紧凑型工艺技术开发合作内容

楷登电子(美国 Cadence 公司)今日正式公布其与台湾积体电路制造股份有限公司(TSMC)全新12nm FinFET紧凑型(12FFC)工艺技术开发合作内容。凭借Cadence 数字
2018-05-08 11:07:001422

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

Synopsys设计平台用于高性能、高密度芯片设计 重点: Synopsys设计平台获得TSMC工艺认证,支持高性能7-nm FinFET Plus工艺技术,已成功用于客户的多个设计项目。 针对
2018-05-17 06:59:004461

中芯国际再获技术重大突破

中芯国际14纳米FinFET技术获得重大进展 8月9日,中芯国际公布了在14纳米FinFET技术开发上获得的重大进展。第一代FinFET技术研发已进入客户导入阶段。除了28纳米PolySiON和HKC,28纳米HKC+技术开发也已完成。28纳米HKC持续上量,良率达到业界水平。
2018-08-18 10:31:003773

中芯国际发布2018年第4季财报并表示12纳米技术开发也开始有所突破

外,在技术研发方面,中芯国际表示,第一代 FinFET 14 纳米技术进入客户验证阶段,产品可靠度与良率已进一步提升。同时,12 纳米技术开发也开始有所突破。
2019-02-18 17:03:103076

三星宣布已完成5纳米FinFET工艺技术开发

4月16日,三星官网发布新闻稿,宣布已经完成5纳米FinFET工艺技术开发,现已准备好向客户提供样品。
2019-04-16 17:27:233008

通过芯片工艺架构为所有产品组合实现高功率效率

UltraScale+ 器件系列以低功耗半导体工艺(TSMC 16 纳米FinFET+)为基础,与 7 系列 FPGA 及 SoC 相比,能将整体器件级电源节省达 60%。架构改进。
2019-08-01 15:46:331252

三星宣布其基于栅极环绕型晶体管架构的3nm工艺技术已经正式流片

目前从全球范围来说,也就只有台积电和三星这两家能做到5纳米工艺以下了。6月29日晚间,据外媒报道,三星宣布其基于栅极环绕型 (Gate-all-around,GAA) 晶体管架构的3nm工艺技术已经
2021-07-02 11:21:542254

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

Cadence 和 TSMC 联手进行 N3 和 N4 工艺技术合作, 加速赋能移动、人工智能和超大规模计算创新 双方共同客户现可广泛使用已经认证的 N3 和 N4 流程 PDK 进行设计 完整
2021-10-26 15:10:581928

Ansys多物理场解决方案荣获台积电N4工艺技术和FINFLEX™架构认证

工艺技术的FINFLEX架构认证 此外,该认证也可扩展到台积电N4工艺技术 Ansys宣布Ansys电源完整性解决方案荣获台积电FINFLEX创新架构以及N4工艺技术认证,持续深化与台积电的长期技术合作
2022-11-17 15:31:57696

Cadence成功流片基于台积电N3E工艺16G UCIe先进封装 IP

来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于台积电 3nm(N3E)工艺技术Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
2023-04-27 16:35:40453

Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence 和台积电共同开发,旨在实现定制和模拟 IC 设计在台积电工艺技术之间的自动迁移。与人工迁移相比,已使用该流程的客户成功地将迁移时间缩短了 2.5 倍。
2023-05-06 15:02:15801

行业首创!恩智浦携手台积电,推出汽车级16纳米FinFET嵌入式MRAM

恩智浦和台积电联合开发采用台积电16纳米FinFET技术的嵌入式MRAM IP  借助MRAM,汽车厂商可以更高效地推出新功能,加速OTA升级,消除量产瓶颈 恩智浦计划于2025年初推出采用该技术
2023-05-26 20:15:02396

Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Cadence 和 Intel 共同发布
2023-07-14 12:50:02381

Cadence数字和定制/模拟流程通过Intel 18A工艺技术认证

Cadence近日宣布,其数字和定制/模拟流程在Intel的18A工艺技术上成功通过认证。这一里程碑式的成就意味着Cadence的设计IP将全面支持Intel的代工厂在这一关键节点上的工作,并提
2024-02-27 14:02:18160

已全部加载完成