您好,欢迎来电子发烧友网! ,新用户?[免费注册]

您的位置:电子发烧友网>电子百科>通信技术>多媒体通信>

基于MT8888的DTMF通信 - 地铁列车乘客紧急报警系统设计

2011年06月27日 09:48 单片机与嵌入式系统 作者:赵晓峰 用户评论(0
3.1 基于MT8888的DTMF通信

  由于涉及已运营线路的列车改造,系统的稳定性和安全性是至关重要的,所以该系统在设计时报警主机和分机之间采用了基于MT8888的DTMF通信,并在列车上使用低烟、无卤的线缆构架系统的通信网络,做到与列车原有广播系统的语音信号相互独立,互不干扰。网络拓扑结构是总线型结构,最远分机和主机的距离长达150 m。

  

 

  

 

  DTMF通信每次收发只能处理4位数据,但是对于实际通信数据,就需要设置较大的缓冲区,循环发送多字节数据。下面详细描述主机和分机的通信协议。

  3.2 基于CRC8的安全通信协议

  根据系统的功能,报警主机和分机的消息类型包括:系统上电自检、分机报警、主机报警回复。系统上电自检是指在系统全部上电后,列车车厢内的分机会自动向主机发送健康信息,主机收到该信息后会在界面上点亮对应分机的指示灯;分机报警是指乘客按下报警按钮后,分机向主机发送的报警信息;主机报警回复是指司机确认报警后,主机向分机发送的报警确认信息。为了保证通信的安全性,采用CRC8标准进行数据校验,多项式为

  

j.jpg

 

  ,简记为0x31。

  通信协议的详细定义如下:

  ①上电自检信息格式(3字节)

  报头(1字节):FB

  报文:车厢号(半字节)+分机号(半字节)

  CRC(1字节):CRC8

  ②报警信息格式(3字节)

  报头(1字节):EA

  报文:车厢号(半字节)+分机号(半字节)

  CRC(1字节):CRC8

  ③报警回复信息格式(3字节)

  报头(1字节):EC

  报文:车厢号(半字节)+分机号(半字节)

  CRC(1字节):CRC8

  在报警主机和报警分机的DTMF编解码结束后,使用Altera公司的EPM1270芯片进行CRC8的生成和校验,基于以下VHDL代码实现了8位CRC码生成电路。代码中,InputData为输入数据,ResultCRC为输出CRC码,midCRC为当前CRC码。

  

 

  

 

  CRC8校验算法的使用,从软件上为报警主机和分机的通信提供了一定的安全保障;CPLD硬件的实现方式,减轻了单片机的运算负担,使其专注于主控制逻辑的调度。

  

非常好我支持^.^

(1) 100%

不好我反对

(0) 0%

( 发表人:叶子 )

      发表评论

      用户评论
      评价:好评中评差评

      发表评论,获取积分! 请遵守相关规定!