电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>半导体技术>半导体新闻>中芯国际将在2019年量产14纳米FinFET,并勾勒28纳米三阶段蓝图

中芯国际将在2019年量产14纳米FinFET,并勾勒28纳米三阶段蓝图

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

7纳米EUV制程战火燃 台积电3月领先量产

延续7纳米制程领先优势,台积电支援极紫外光(EUV)微影技术的7纳米加强版(7+)制程将按既定时程于3月底正式量产,而全程采用EUV技术的5纳米制程也将在2019年第2季进入风险试产。 据了解,独家
2019-02-13 10:08:154409

7纳米制程竞争激烈 台积电3月领先量产

延续7纳米制程领先优势,台积电支持极紫外光(EUV)微影技术的7纳米加强版(7+)制程将按既定时程于3月底正式量产,而全程采用EUV技术的5纳米制程也将在2019年第2季进入风险试产。
2019-02-14 00:06:002078

中芯国际在国内推出首个14nm FinFET工艺风险产品

赵海军博士和梁孟松博士表示,FinFET的研发工作继续加速。该公司的14纳米工艺目前正处于风险生产阶段,预计将在年底前实现盈利。 此外,该公司还开始与12nm工艺的客户合作,提供了第一代FinFET技术的增强版本。并抓住5G / IoT /汽车和其他行业趋势的机会实现突破
2019-08-20 09:25:016602

中国第一条FinFET生产线开始批量生产14 nm FinFET芯片

生产线比其他代工厂的生产线要小得多。 中芯国际的前代制造技术为28纳米,因此14纳米工艺切实提高了晶体管密度,提高了性能,并降低了功耗,这自然使该公司能够生产更复杂,更昂贵的芯片,而这些芯片原本可以外包给更大的竞争对手。 目前,中芯国际在其300毫米晶
2019-11-19 10:40:266858

28纳米14纳米技术打头阵!中国半导体市场高速增长,本土芯片厂商机会大

的高增长主要集中在28纳米14纳米和16纳米FinFET技术,这个趋势在2020年到2024年都会持续下去。
2021-08-25 08:50:197216

28纳米为基础,赛灵思(Xilinx)20纳米继续超越

赛灵思的20纳米产品以备受市场肯定的28纳米制程突破性技术为基础,提供超越一个技术世代的系统效能、功耗和可编程系统整合度,继续超越下一代!
2012-12-03 09:48:01876

14纳米工艺节点会给设计带来哪些挑战?

国际物理系统研讨会(ISPD)上专家表示:实现14纳米芯片生产可能会比原先想象的更困难;14纳米节点给设计师带来了许多挑战。这些困难和挑战何在?详见本文...
2013-04-08 09:30:513499

反击Altera 赛灵思2014量产16纳米FPGA

面对Altera采用英特尔(Intel)14纳米三门极电晶体(Tri-gate Transistor)制程,并将于2016年量产14纳米FPGA的攻势,赛灵思于日前发动反击,将携手台积电采用16纳米FinFET制程,抢先于2014年推出新一代FPGA。
2013-05-31 09:29:541063

中芯长电14纳米硅片凸块量产 弯道超车新机遇?

中芯长电半导体有限公司28日在江阴宣布正式开始为美国高通公司提供14纳米硅片凸块量产加工。这标志着中芯长电成为中国大陆第一家进入14纳米先进工艺技术节点产业链并实现量产的半导体公司。
2016-08-02 13:45:43975

GF技术长:7纳米全球四强争霸,10纳米制程性价比不佳

我们的FinFET制程分为两个世代,包括14纳米和7纳米。过去我们的14纳米是和三星电子(Samsung Electronics)合作,在7纳米上我们选择不同技术,加上收购IBM资产后,我们的研发资源变广,因此决定自己开发7纳米制程技术。
2016-11-03 09:17:281478

传华力微挖角联电28纳米研发团队 望破瓶颈

继台湾半导体高层人士高启全、蒋尚义、孙世伟等陆续加入大陆企业之后,近期传出上海华力微电子挖角联电一组高达 50 人的 28 纳米研发团队,希望解决在 28 纳米制程中的瓶颈问题,加速为联发科代工芯片的量产进程。
2017-02-07 10:31:31729

老邢点评:中芯国际上半年28纳米营收同比增长13.8倍

点评:中芯国际上半年28纳米营收同比增长13.8倍 1.我国已初步搭建起芯片产业链,其中芯片制造商主要以中芯国际、华虹集团、上海先进为代表。去年八月中芯国际28纳米工艺制程芯片已经量产,成功应用于
2017-09-05 09:00:001931

格芯为高性能应用推出全新12纳米 FinFET技术

12纳米领先性能(12LP)的FinFET半导体制造工艺。该技术预计将提高当前代14纳米 FinFET产品的密度和性能,同时满足从人工智能、虚拟现实到高端智能手机、网络基础设施等最具计算密集型处理需求的应用。 这项全新的12LP技术与当前市场上的16 /14纳米 FinFET解决方案相比,电路密度提高
2017-09-25 16:12:368666

中芯揽三星电子、台积电技术猛将梁孟松 拼14纳米FinFET2019量产

制程进度,共同执行长赵海军表示,先进制程14纳米FinFET将于2019量产,第二代28纳米HKMG制程也会于2018年底问世,外界都睁大眼睛等着检视成绩单。 中芯国际15日的线上法说中,仍是由赵海军主持会议,梁孟松仅简短发言,代表加入新团队后的首次现“声”,也满
2017-11-27 16:29:531345

中芯国际14纳米FinFET研发完成,良率达到95%

根据供应链传出的消息指出,中国大陆最大的晶圆代工厂中芯国际,目前最新的14纳米FinFET制程已接近研发完成阶段,其试产的良率已经可以达到95%的水准。因此,距离2019年正式量产的目标似乎已经不远了。
2018-06-15 14:09:468507

中芯国际上半年营收17.22亿美元 14纳米FinFET技术获重大进展

8月30日,中芯国际发布2018年中期业绩,收入同比增长11.5%至17.22亿美元;毛利同比增长5.6%至4.38亿美元。中芯国际14纳米FinFET技术开发上获得重大进展。中芯国际的第一代FinFET技术研发已进入客户导入阶段
2018-08-31 14:44:335140

2013韩国首尔纳米技术展NANO KOREA

)和韩国科学技术信息研究所(KISTI)承办,从2003开始举办,每年一届,至今已经成功的举办了10。同期将举办第六届国际微型技术 / 微机电系统展、第四届激光技术展、第四届印刷电子技术展和第
2013-02-24 13:52:34

三阶段充电器的关键参数疑点

最近在研究蓄电池的充电 看了一些资料学习三阶段充电。三阶段充电:恒流 恒压 浮充其中恒流阶段充电器的输出电压是怎样的?恒压阶段充电器的输出电流是怎样的?浮充阶段充电器的输出电流是怎样的? 好像很多资料都没提到“ 恒流 恒压 浮充”的另外一个参数,希望有经验的师傅能够解答 谢谢
2014-01-14 23:25:07

纳米位移计真的可以测到纳米级别的物体的位移?

纳米位移计真的可以测到纳米级别的物体的位移?
2015-07-23 10:36:36

纳米发电机有哪些类型

  纳米发电机主要由中国学者开展研究,代表研究人员是中国科学院北京纳米能源与系统研究所的王林教授。纳米发电机包括柔性压电纳米发电机(PENG)、柔性摩擦纳米发电机(TENG)及混合纳米发电机等
2020-08-25 10:59:35

纳米定位平台跟纳米平台的区别是什么?

纳米定位平台跟纳米平台的区别是什么?
2015-07-19 09:42:13

纳米技术在生活的应用

提到纳米技术,人们可能会觉得离自己好远。其实纳米材料在几个世纪前,就已经在陶瓷釉和有色窗玻璃染色剂中使用。1990代末以来,纳米技术越来越多的投入到应用。现在,全球各地的科学家和工程师都在对这个
2021-08-31 08:13:56

纳米硅有什么用途?

纳米硅粒子有较大的比表面,无色透明;粘度较低,渗透能力强,分散性能好。纳米硅的二氧化硅粒子是纳米级别,其粒径小于可见光光波长度,不会对可见光形成反射和折射等现象,因此不会使涂料表面消光。
2019-10-31 09:12:41

纳米编码器 NG-B超精密纳米编码器

NG-B超精密纳米编码器NG-B内容摘要:最近,我公司立足于市场需求,特推出用于XY二维定位的超精密纳米编码器。NG在制造栅格的过程,能够保证其达到激光干涉仪的精度,并且以一个更经济的价格、更
2013-11-18 14:53:25

CDMA_协议测试规范_第三阶段(CDG3)

CDMA_协议测试规范_第三阶段(CDG3)
2012-11-03 09:13:28

GF退出7纳米大战 国鼎立下中国路在何方

研发实力得到进一步夯实,正伺机而动等待崛起之机。GF退出后,高端芯片将出现一定的市场缺口,这将成为中国争抢市场份额的好时机。日前,7纳米的中国制造捷报频频。***才宣布 14 纳米FinFET
2018-09-05 14:38:53

[转]台积电借16nm FinFET Plus及InFO WLP 通吃英特尔苹果

苹果晶圆代工龙头台积电16纳米鳍式场效晶体管升级版(FinFET Plus)将在明年1月全产能量产,搭配整合型扇出晶圆尺寸封装(InFO WLP)的系统级封装(SiP)技术,在x86及ARM架构64位
2014-05-07 15:30:16

主流手机芯片性能排行 2021手机CPU性能前十名

通 骁龙 8 Gen 1:202112月1日公布,4纳米工艺技术制造3、苹果A14 Bionic:20209月15日公布,5纳米工艺技术制造4、高通 骁龙 888 Plus:20216月28日公布
2021-12-25 08:00:00

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2一次?
2021-02-01 07:54:00

什么是新型纳米吸波涂层材料?

现代化战争对吸波材料的吸波性能要求越来越高,一般传统的吸波材料很难满足需要。由于结构和组成的特殊性,使得纳米吸波涂料成为隐身技术的新亮点。纳米材料是指维尺寸至少有一维为纳米尺寸的材料,如薄膜
2019-08-02 07:51:17

关于蓄电池的三阶段充电疑点

三段式充电:第一个阶段叫恒流阶段(即主充),第二个阶段叫恒压阶段(即均充),第阶段叫涓流阶段(即浮充)。在第一个阶段充电电源给出恒定较大电流,此时电源怎么设置输出电压呢??很多三阶段充电原理中都没提到第一阶段的充电电压,小弟求解惑啊。。。。。。先拜谢了~~
2013-07-07 22:21:55

各种纳米粉体材料在电池行业的应用介绍

贮存性能,且对提高放电容量及促进浆层糊化有独特的效果。建议添加量 0.5-1%,调节合适的PH值。4、镉镍蓄电池中的应用在镉电极添加适量的氧化镁、氧化锌和氧化铁可提高活性物质利用率;添加氧化镁,
2017-07-05 15:09:04

基于硅纳米线的生物气味传感器是什么?

基于硅纳米线的生物气味传感器是什么?硅纳米线表面连接修饰OBP蛋白分子的方法有哪些?基于硅纳米线的气味识别生物传感器的结构是如何构成的?
2021-07-11 07:43:02

展讯将主打TD与WCDMA 将推出28纳米LTE芯片

芯片而在未来产品规划方面,李力游强调,展讯将在智能手机领域有更多投入。此外,展讯将从2012开始研发28纳米芯片产品,以满足未来LTE产品的使用需求。李力游表示,TD-LTE的运算量会很大,40纳米
2011-10-27 11:50:07

有精度可以真正达到纳米纳米位移计吗?

有精度可以真正达到纳米纳米位移计吗?
2015-08-26 10:41:07

欧盟ERP能效认证第三阶段 ErP指令范围

设备(灯控制装置、调光器、灯具等)第一阶段实施日期为20139月1日第二阶段实施日期为20149月1日第三阶段实施日期为20169月1日20057月6日,欧洲议会和理事会正式公布了关于制定
2021-04-22 09:55:41

环保纳米新材料

,7项专利已经受理,5项专利正在申请。目前拥有国内领先、部分产品国际领先的生产工艺,高纯超细氧化铝、5n氧化铝、超活性高纯纳米二氧化钛、纳米氧化钛、高纯纳米氧化锆、纳米氧化铝、纳米氧化锌、纳米氧化铈
2011-11-12 09:57:00

电子行业人士带你入行之纳米制程小白篇

这些,英特尔、星、台积电在制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米和16纳米,那么问题来了,这个14纳米和16纳米有什么好争的?下面易网就来简单做一下介绍。纳米
2016-12-16 18:20:11

电子行业人士带你入行之纳米制程小白篇

这些,英特尔、星、台积电在制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米和16纳米,那么问题来了,这个14纳米和16纳米有什么好争的?下面易网就来简单做一下介绍。纳米
2016-06-29 14:49:15

石墨烯技术取得重大突破:能应用于纳米电子元件

的方法已经研究出来了,既能应用于半导体制造,也能进一步制成导体。  而现在,石墨烯纳米带已经能够批量生产应用在电子元件上。而且,日本东北大学先进材料研究所里的一个国际研究小组已经证明,石墨烯纳米带之间
2016-01-15 10:46:25

纳米管阵列天线的辐射性能

1、引言自1991日本Iijima教授发现碳纳米管以来,纳米技术吸引了大量科学家的兴趣和研究,是目前科学界的研究热点。基于碳纳米管独特的电学特性,提出了利用碳纳米管阵列构筑新型天线和传输线的设想
2019-05-28 07:58:57

纳米纤维的应用前景怎么样?

纳米纤维是指具有纳米尺度的碳纤维,依其结构特性可分为纳米碳管即空心碳纳米纤维和实心碳纳米纤维。
2019-09-20 09:02:43

英特尔将在2014推出14纳米处理器芯片

Bridge的处理器。这种处理器使用3D(闸)晶体管。  Pat Bliemer还证实称,英特尔的Tick-Tock(工艺-构架)战略正在按计划进行。这意味着第一款采用14纳米技术的处理器将在
2011-12-05 10:49:55

请问14纳米的ARM 处理器和14纳米的X86移动处理器那个更省电?​

14纳米的ARM 处理器和14纳米的X86移动处理器那个更省电?​
2020-07-14 08:03:23

台积电率先量产40纳米工艺

台积电率先量产40纳米工艺 台积电公司日前表示,40纳米泛用型(40G)及40纳米低耗电(40LP)工艺正式进入量产,成为专业集成电路制造服务领域唯一量产40纳米工艺的公司
2008-11-22 18:27:07725

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米 上海2009年10月14日电  -- 中芯国际集成电路制造有限公司(“中芯国际”,纽约
2009-10-15 08:22:44793

台积电与联电大客户赛灵思合作28纳米产品

台积电与联电大客户赛灵思合作28纳米产品 外电引用分析师资讯指出,联电大客户赛灵思(Xilinx)3月可能宣布与台积电展开28纳米制程合作;台积电28纳米已确定取得富
2010-01-19 15:59:551058

中芯国际与IBM达成28纳米技术合作

 3月29日上午消息,中芯国际宣布公司与IBM于2012年3月28日签订一项协议,双方将就行业兼容28纳米技术的要素进行合作。
2012-03-29 12:46:53796

苹果合作伙伴台积电TSMC加速量产28纳米芯片

台积电TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37830

Cadence采用FinFET技术流片14纳米芯片

14纳米产品体系与芯片是ARM、Cadence与IBM之间在14纳米及以上高级工艺节点上开发系统级芯片(SoC)多年努力的重要里程碑。使用FinFET技术以14纳米标准设计的SoC能够大幅降低功耗。 这
2012-11-16 14:35:551270

三星宣布第2代14纳米FinFET工艺技术投入量产

三星于2015年第一季度发布了半导体芯片行业首款采用14nmLPE (Low-Power Early) 工艺量产的Exynos 7 Octa处理器,成为FinFET逻辑制程上的行业引领者。
2016-01-15 17:12:47927

中芯国际推出28纳米HKMG制程,与联芯打造智能手机SoC芯片

纳米高介电常数金属闸极(HKMG)制程已成功流片,基于此平台,联芯科技推出适用于智能手机等领域的28纳米SoC芯片,包括高性能应用处理器和移动基带功能,目前已通过验证,准备进入量产阶段
2016-02-17 14:00:301396

中芯长电将为高通提供14纳米硅片凸块量产加工

,中芯长电将为美国高通公司提供14纳米硅片凸块量产加工。这是中芯长电继规模量产28纳米硅片凸块加工之后,中国企业首次进入14纳米先进工艺技术节点产业链并实现量产
2016-08-04 11:42:23854

传台积电、三星电子10纳米制程量产卡关

据台湾媒体报道,台积电、三星电子10纳米制程量产进入倒数计时阶段,然近期却陆续传出量产卡关消息,半导体业者透露,台积电为苹果(Apple)生产新一代iPad处理器A10X,出现良率不如预期情况
2016-12-22 10:17:15686

什么是FinFETFinFET的工作原理是什么?

功耗低,面积小的优点,台湾积体电路制造股份有限公司(TSMC)等主要半导体代工已经开始计划推出自己的FinFET晶体管[4],为未来的移动处理器等提供更快,更省电的处理器。从2012年起,FinFET已经开始向20纳米节点和14纳米节点推进。
2018-07-18 13:49:00119524

台积电2019年10纳米及7纳米年总产能较今年将增加3倍

晶圆代工龙头台积电7纳米已进入量产,第四季可望再争取到超微(AMD)中央处理器及高通智能手机芯片订单,并在明年放量投片。外资圈指出,台积电2019年10纳米及7纳米年总产能将上看110万片,较今年增加3倍。
2018-06-21 14:24:002744

中芯国际推进14纳米产量_中芯国际面临本土市场竞争

中芯国际14纳米将正式启动大展拳脚。30日晚间,中芯国际宣布,由中芯控股、国家“大基金”、上海集成电路基金共同注资“中芯南方”。注资后,中芯南方注册资本增至35亿美元,同时中芯南方未来也将肩负配合中芯14纳米及以下先进制程研发和量产计划,打造月产能3.5万片12吋晶圆厂。
2018-02-01 10:58:307505

三星曾落后于台积电,想在6纳米技术上加速前进

三星电子准备调整铸造技术蓝图2019年下半年开始量产6纳米芯片。按照原来的计划,三星本打算在2019年试产6纳米芯片。在7纳米技术上,三星落后于台积电,现在它想在6纳米技术上加速前进。
2018-03-14 16:41:425337

中芯国际将在2018年下半年量产28nm HKC+工艺,2019年上半年开始试产14nm FinFET工艺

中芯国际联席CEO梁孟松透露,中芯国际将在2018年下半年量产28nm HKC+工艺,2019年上半年开始试产14nm FinFET工艺,并藉此进入AI芯片领域。
2018-05-14 14:52:005009

中芯国际放大招-"14nm工艺晶圆2019量产"

替换高清大图 请点击此处输入图片描述 中芯国际将在2018年下半年量产28nm HKC+工艺,2019年上半年开始试产14nm FinFET工艺,并借此进入...... 晶圆制造是目前芯片设计环节
2018-05-17 09:37:354975

台积电7nm量产情况最新进展 台积电CEO说7纳米芯片已量产

在台积电举行的一次技术研讨会上透露这一消息的,他在会上表示,他们已经开始量产7纳米芯片,但在会上并未透露是为哪一家厂商生产7纳米芯片。 对于5纳米工艺,魏哲家当时是透露将在2019年年底或者2020年初开始大规模量产
2018-06-29 12:23:015747

中芯14纳米FinFET制程良率达95%,预计2019量产

中芯国际最新的14纳米FinFET制程已接近研发完成阶段,其试产的良率已经可以达到95%的水准,距离2019年正式量产的目标似乎已经不远
2018-07-06 15:23:523383

ANSYS宣布14纳米FinFET制程技术获联电认证

ANSYS宣布其ANSYS RedHawk和ANSYSR Totem获联华电子(UMC)的先进14纳米FinFET制程技术认证。ANSYS和联电透过认证和完整套装半导体设计解决方案,支援共同客户满足下一代行动和高效能运算(HPC)应用不断成长的需求。
2018-07-17 16:46:003390

中芯国际再获技术重大突破

中芯国际14纳米FinFET技术获得重大进展 8月9日,中芯国际公布了在14纳米FinFET技术开发上获得的重大进展。第一代FinFET技术研发已进入客户导入阶段。除了28纳米PolySiON和HKC,28纳米HKC+技术开发也已完成。28纳米HKC持续上量,良率达到业界水平。
2018-08-18 10:31:003773

格芯退出7纳米制程或导致IBM订单转交台积电

晶圆代工大厂格芯在28日宣布,无限期停止7纳米制程的投资与研发,转而专注现有14/12纳米FinFET制程,及22/12纳米FD-SOI制程。
2018-08-30 15:33:002110

中芯国际14nm明年上半年将实现量产

2018年第二季度,第一代14纳米FinFET技术进入客户导入阶段,明年上半年将进入量产。明年上半年将实现量产
2018-09-17 16:48:526173

英特尔宣布追加10亿美元用于14纳米制程扩产后 10纳米制程量产或将提早半年

处理器大厂英特尔(Intel)最近遇到14纳米产能不足及10纳米制程延宕两大危机,在英特尔宣布追加10亿美元用于14纳米制程扩产后,现在10纳米制程方面也有好消息传出。市场预估,英特尔最快在2019
2018-10-08 15:05:003093

华力28纳米低功耗工艺平台芯片进入量产阶段

近日,华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段
2018-12-12 15:15:012029

上海华力28纳米低功耗工艺进入量产

华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段
2019-01-01 15:13:003780

台积电2020年上半年7纳米+制程进入量产的消息获得证实

的加强版的 7 纳米 + 制程将在 2019量产之外,更先进的 5 纳米制程,日前也传出在 2019 年上半年流片(Tape out), 2020 年上半年就能正式进入量产的消息,如今获得证实。
2019-01-22 16:00:292086

基于上海华力28纳米低功耗工艺平台的芯片进入量产

华虹集团旗下上海华力与联发科技股份有限公司共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一——基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段
2019-01-07 14:15:453224

三星表示将在2019下半年量产内含EUV技术的7纳米制程 2021年量产3纳米GAA制程

为了减低近期存储器降价带来的冲击,全球存储器龙头三星逐渐强化晶圆代工业务,希望有机会进一步拉近与台积电的差距。在先进制程的发展方面,根据三星高层表示,将在 2019 下半年量产内含 EUV技术的 7 纳米制程,而 2021 年量产更先进的 3 纳米 GAA 制程。
2019-01-14 14:47:443262

中芯国际14纳米制程将于2019量产 未来首个14纳米制程客户将来自手机芯片产业

制程研发。不过,技术水平与业界至少差了两代以上,已量产的最先进制程还是在 28 纳米制程上。对此,参与投资中芯国际的上海市政府日前在工作报告中表示,中芯国际14 纳米制程将于 2019量产
2019-01-29 15:27:027284

中芯国际发布2018年第4季财报并表示12纳米的技术开发也开始有所突破

外,在技术研发方面,中芯国际表示,第一代 FinFET 14 纳米技术进入客户验证阶段,产品可靠度与良率已进一步提升。同时,12 纳米的技术开发也开始有所突破。
2019-02-18 17:03:103076

中芯国际2019年的起步时刻,正式敲定了14纳米芯片!

中芯国际14纳米芯片的量产,意味着:在今年上半年,我们国产手机就能用上中端性能的国产芯片了!要知道,华为荣耀8XMax、红米note7、vivo X21用的都是14纳米芯片。
2019-02-25 13:54:5818116

三星或从2019年6月份开始量产7纳米EUV制程

,现在有韩国媒体报导,三星将从 2019 年的 6 月份开始,量产 7 纳米 EUV 制程,首项产品就是自家的 Exynos 9825 处理器,并且用于 2019 年下半年的预计推出的旗舰型 Galaxy Note 10 系列智能型手机。
2019-04-12 16:48:423306

英特尔预计10纳米产品将在6月开始出货 并预期2021年7纳米制程可望进入量产阶段

就在台积电及三星电子陆续宣布支援极紫外光(EUV)技术的7纳米技术进入量产阶段后,半导体龙头英特尔也确定开始进入10纳米时代,预计采用10纳米产品将在6月开始出货。同时,英特尔将加速支援EUV技术的7纳米制程研发,预期2021年可望进入量产阶段,首款代表性产品将是Xe架构绘图芯片。
2019-05-14 16:32:463239

中芯国际宣布将大规模量产14纳米芯片 良品率已经达到了95%

中芯国际宣布:今年上半年将大规模量产14纳米芯片,良品率已经达到了95%,其首个订单来自手机领域。
2019-05-20 16:40:5912612

关于中芯14nm的性能分析和介绍

在昨日的财报说明会上,中芯国际联席首席执行官,赵海军博士和梁孟松博士说:“中芯国际14纳米FinFET技术开发上获得重大进展。第一代FinFET技术研发已进入客户导入阶段。除了28纳米PolySiON和HKC,我们28纳米HKC+技术开发也已完成。
2019-08-30 16:07:447132

智原科技28/40纳米单芯片ASIC设计量三年倍增

28纳米与40纳米为目前半导体市场上的主流工艺,无论是IP、光罩与晶圆等技术均趋于稳定成熟,成本大幅低于FinFET工艺。
2019-09-19 14:43:291446

国内首条,中芯国际14纳米生产线正式投产

根据中芯国际官方网站的消息,中芯南方厂投产国内首条14纳米生产线,该工厂也是中芯国际最先进的生产基地。
2020-01-13 09:49:364154

中芯国际取得海思14纳米FinFET工艺代工订单

据中国台湾消息报道,中国大陆芯片代工厂商中芯国际已经从竞争对手台积电手中,夺得华为旗下芯片企业海思半导体公司的14纳米FinFET工艺的芯片代工订单。
2020-01-14 15:31:432677

中芯国际从台积电手中夺得海思14纳米FinFET工艺芯片代工订单

关注半导体产业的台湾《电子时报》(DigiTimes)1 月 13 日报道称,中国大陆芯片代工厂商中芯国际击败台积电,夺得华为旗下芯片企业海思半导体公司的 14 纳米 FinFET 工艺芯片代工订单。
2020-01-16 09:00:015094

中芯国际14nm量产大规模出货 国产化取得阶段性胜利

近日,芯片代工厂商——中芯国际发布了2019年财报。这是中芯国际14纳米产品贡献营收后的首份年报。
2020-04-20 09:32:553935

武汉新芯50纳米NOR Flash存储芯片已全线量产

  据了解,武汉新芯50纳米闪存技术于2019年12月取得突破,随后投入量产准备。从65纳米到50纳米的跃升,武汉新芯用了18个月。
2020-07-17 08:19:444077

中芯国际N+1 代芯片可望于2021年量产

第一代FinFET 14纳米已于2019年四季度量产;第二代FinFET N+1已进入客户导入阶段,可望于2020年底小批量试产。 据集微网2月报道,在中芯国际2019第四季度财报会议上,梁孟松博士透露了中芯国际下一代N+1工艺的详细数据。 梁孟松博士透露,中芯国际的下一代
2020-09-30 10:49:592771

中芯国际第二代FinFET N+1工艺已进入客户导入阶段

710A 芯片等进行代工。 对此,中芯国际回应称,公司的第一代FinFET 14nm工艺已于2019年第四季度量产,第二代FinFET N+1工艺已经进入客户导入阶段,有望于2020年底小批量试产。在没有使用EUV光刻机的情况下,中芯国际实现了14nm以下的先进制造工艺,不能不说
2020-09-30 14:24:188395

中芯国际的第二代FinFET已进入小量试产

据科创板日报报道称,中芯国际的第二代FinFET已进入小量试产。 科创板中芯国际在互动平台表示,公司第一代FinFET14纳米已于2019年四季度量产;第二代FinFETN+1已进入客户导入阶段
2020-12-04 18:08:151858

中芯国际称第二代FinFET已进入小量试产

2019 年四季度进入量产,第二代 FinFET 已进入小量试产。 IT之家了解到,中芯国际2019 年实现了国内最先进的 14nm 工艺制程量产,并已为华为麒麟 710A 芯片等进行代工。 今年9月份,投资者向中芯国际求证中芯关于下一代芯片量产消息,中芯国际回答表示:中芯国际第二代
2020-12-07 11:23:372570

台积电将于2022年量产3纳米芯片

台积电3纳米芯片计划将于2022年下半年开始量产,此前三星电子也已正式宣布将在台积电之前于2022年上半年开始生产3纳米半导体。
2021-10-20 16:43:207784

3纳米量产在即 如何实现2纳米芯片?

据悉,台积电在本次技术论坛上主要透露以下三点信息:一是半导体产业正发生三大改变;二是低端芯片短缺成为供应链瓶颈;三是3纳米量产在即,2纳米2025年量产
2022-09-06 16:00:202998

已全部加载完成