电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>半导体技术>半导体新闻>台积电TSMC扩大与Cadence在Virtuoso定制设计平台的合作

台积电TSMC扩大与Cadence在Virtuoso定制设计平台的合作

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Cadence携手TSMC开发3D IC设计基础架构

全球电子设计创新企业Cadence设计系统公司日前宣布其与TSMC在3D IC设计基础架构开发方面的合作
2012-06-11 09:47:431071

Cadence获得TSMC的“客户首选奖”

Cadence设计系统公司,在TSMC最近举办的Open Innovation Platform Ecosystem Forum上因DRAM接口IP和技术方面的相关论文而获得“客户首选奖”
2013-01-30 09:08:27842

Cadence扩展全新Virtuoso平台,提供优化系统设计并支持5nm及仿真驱动布线

楷登电子今日正式发布Cadence® Virtuoso®定制 IC设计平台的技术升级和扩展,进一步提高电子系统和 IC设计的生产力。新技术涉及Virtuoso 系列几乎所有产品,旨在为系统工程师提供更稳健的设计环境和生态系统,助其实现并分析复杂芯片、封装、电路板和系统。
2018-04-11 16:40:169288

Cadence 推出开拓性的 Virtuoso Studio,以人工智能为助力,开启模拟、定制和 RFIC 设计的未来

这是一个业界用于打造差异化定制芯片的领先平台,可借助生成式 AI 技术显著提升设计生产力; Virtuoso Studio 与 Cadence 最前沿的技术和最新的底层架构集成,助力设计工
2023-04-20 15:52:13508

Cadence virtuoso MOS管cdf参数简单问题

各位大佬好,我正在学习IC设计,对于Cadence Virtuoso这个软件有一些入门级的小问题:我从AnaglogLib拷贝NMOS管和PMOS管到自己的library下面,打开他们的CDF参数看
2017-10-16 00:26:33

Cadence Allegro平台先进的约束驱动PCB流程和布线能力

的减小,器件管脚数、设计频率和设计约束复杂度却不断提升。这种持续的挑战使得传统PCB设计方法变得越来越力不从心。基于CadencePCB领域的领先地位,新的Allegro平台提供了能够适应和解决这些
2018-11-23 17:02:55

Cadence 凭借突破性的 Integrity 3D-IC 平台加速系统创新

™ 3D-IC 平台,这是业界首个综合性、高容量的3D-IC 平台,将三维 3D 设计规划、实施和系统分析集成一个统一的座舱中。 Integrity 3D-IC 平台Cadence 的第三代 3D-IC
2021-10-14 11:19:57

Cadence设计原理图常用导出方案分享

  电路工作到了后期需要汇报或者写文章需要设计原图 ,这里整理一下Cadence设计原理图常用导出方案。  前期工具用的好,后期处理没烦恼,Cadence自带工具其实很强大,只是你没尝试用。  仿真环境:虚拟机Linux下Cadence617 原理图绘制工具:virtuoso
2021-01-15 07:48:05

cadence virtuoso教程

cadence virtuoso教程 1990-2006 Cadence Design Systems, Inc. All rights reserved.Printed
2012-08-10 18:37:59

cadence教程

/>相比Cadence 的综合工具略为逊色然而Cadence 仿真电路图设计<br/>自动布局布线版图设计及验证等方面却有着绝对的优势Cadence
2008-07-12 23:11:21

virtuoso版图设计问题

大家好,本人刚入ic行,这几天用virtuoso画版图LVS检测过程中出现了如图所示的报错,有没有哪位大神知道怎么改,麻烦赐教,很急谢谢!
2017-07-25 17:17:22

0.18工艺电源电压分别是多少?

0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

5nm架构设计试产

宣布5nm基本完工开始试产:面积缩小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

或将“独吞”A7大单

` 观点:技术领先的优势下,获得苹果iPhone5芯片追加订单已成事实。然而,iPhone 5推出后,苹果已朝下一世代A7处理器迈进,凭借技术领先的优势,预估未来1-2年内
2012-09-27 16:48:11

电量产安徽iPhone 8用大时代10nmA11芯片可靠吗

正在大量生产用于苹果iPhone8手机的10nm A11处理器。消息称,苹果可能在下个月初正式发布iPhone 8,但是具体发货日期仍然不确定。  据悉,已经采用10nm FinFET
2017-08-17 11:05:18

cadence ic版图设计中tsmc.18,宽长比4/0.18的mosfet怎么画?

本帖最后由 gk320830 于 2015-3-4 19:06 编辑 cadence ic版图设计中tsmc.18,宽长比4/0.18的mosfet怎么画?有多少层?每一层什么意义?
2014-10-06 08:07:57

MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

%。西安二厂预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【28nm设备订单全部取消!】 4月消息,由于
2023-05-10 10:54:09

Tessera提供嵌入式图像增强技术

的 FotoNation®嵌入式图像增强解决方案。CEVA日本横滨市举行的技术研讨会 (TSMC Technology Symposium)上,向与会者现场演示了这些技术。  
2019-07-19 06:24:25

Voltus-Fi定制型电源完整性解决方案

的迭代方法大大提升。 完全集成于Cadence Virtuoso® 平台,提供统一的设计流程,提升了设计人员模拟和定制模块进行EMIR签收的工作效率。 利用了Cadence Quantus QRC寄生
2018-09-30 16:11:32

[推荐]深圳WINCE专业平台开发团队,提供WINCE平台的硬件定制,内核驱动定制

********TCC8900,TCC8901,TCC8902平台定制开发,WINCE6.0系统,用于高端POST机,广告机等
2010-04-12 09:46:25

[转]借16nm FinFET Plus及InFO WLP 通吃英特尔苹果

苹果晶圆代工龙头16纳米鳍式场效晶体管升级版(FinFET Plus)将在明年1月全产能量产,搭配整合型扇出晶圆尺寸封装(InFO WLP)的系统级封装(SiP)技术,x86及ARM架构64位
2014-05-07 15:30:16

[转帖]IDM厂Q2后扩大委外

IDM厂扩大委外趋势有加速现象。董事长张忠谋日前法说会中表示,已注意到IDM厂重启扩大委外代工迹象;联执行长孙世伟也指出,IDM释单持续增加,金融海啸也加速IDM厂释单,相当多的领域都看到
2010-05-06 15:38:51

【AD新闻】百万片订单大洗牌!或成高通新一代PMIC芯片最大供应商

纳米制程AP订单,加上电源管理芯片进入新一代规格后,将成为高通的主力供应商,未来高通与各大产品线可望全面强化合作。 近来8吋晶圆厂产能持续大爆满,包括电源管理芯片、指纹辨识芯片等需求
2017-09-22 11:11:12

【AD新闻】竞争激烈!中芯抢高通芯片订单

了高通的订单。之后,中芯国际凭借极具竞争力的价格从Globalfoundries手中夺走了订单,成为高通电源管理芯片的主要合作伙伴。我们知道,高通的帮助下,中芯国际实现了28nm工艺量产,而且还加快14nm硅片的量产。由于产能、价格及新芯片技术的原因,此次高通将电源管理芯片交给了生产。
2017-09-27 09:13:24

回来的MPW芯片无法进行Bump流程

各位专家,我们TSMC做的MPW芯片,回来后,发现其他家的芯片都被TSMC出厂前用激光打掉了8微米,现在如此高的落差,导致我们的芯片回来后无法进行Bump,所以想了解下TSMC是如确定需要laser掉的深度的,是根据厂内自己的规范,还是客户的要求
2018-09-03 14:05:19

半导体发展的四个时代

交给代工厂来开发和交付。是这一阶段的关键先驱。 半导体的第四个时代——开放式创新平台 仔细观察,我们即将回到原点。随着半导体行业的不断成熟,工艺复杂性和设计复杂性开始呈爆炸式增长。工艺技术
2024-03-13 16:52:37

各类常用工艺库,中芯国际,华润上华

各类常用工艺库,中芯国际,华润上华
2015-12-17 19:52:34

基于Cadence与Mentor的CMOS模拟集成电路版图该如何去设计?

基于Cadence virtuoso与Mentor Calibre的CMOS模拟集成电路版图该如何去设计?怎样去验证一种基于Cadence virtuoso与Mentor Calibre的CMOS模拟集成电路版图?
2021-06-22 06:12:49

基于Virtuoso平台的单片射频收发系统电路仿真与版图设计

的设计平台综合比较后,本文选定了CadenceVirtuoso全定制IC设计工具。VirtUOSOCadence公司推出的用于模拟/数字混合电路仿真和射频电路仿真的专业软件。基于此平台
2018-11-26 10:56:11

怎么使用GoldenGateCadence中模拟信封跟踪

您是否正在使用Cadence Virtuoso进行功率放大器设计?您想运行信封跟踪模拟吗?包络跟踪是一种响应于调制的RF输入信号的功率电平来调整功率放大器的偏置电压以获得更高效率的方法。已在
2019-02-21 16:18:37

日进3.3亿,年狂挣千亿的,为何还涨价?

利润率为39.1%,净利润率为36.1%。尽管Q221净利313亿,但仍决定涨价,主要有以下两个原因。首先,半导体涨价意在防止因提前大规模投资导致盈利能力恶化。2021年4月份宣布
2021-09-02 09:44:44

论工艺制程,Intel VS谁会赢?

坛上,其总经理兼联合CEO刘德音表示,他们早已制造出7nm的SRAM,并确认10nm将在2016年初试产,7nm则预期2017年Q1开试。报道称,非常高兴,因为终于超过英特尔了。他们还趁热预告
2016-01-25 09:38:11

中芯国际:能否成为“”?

中芯国际
芯前沿发布于 2021-07-16 18:28:10

比亚迪进军半导体,或成下一个#半导体

时事热点
硬声何同学发布于 2021-08-26 15:18:50

宣布芯片全面涨价!除了赚钱,还意味着什么?

硬件小哥哥发布于 2021-09-02 18:07:15

Cadence Virtuoso →DC仿真] 如何保存直流工作点?

编程语言Virtuoso行业芯事经验分享
勇敢虎虎不怕困难发布于 2022-05-31 10:49:12

芯片皇帝,利润超过苹果公司,高科技的背后,不只依赖光刻机

苹果公司行业芯事经验分享
中国芯动向发布于 2022-06-07 15:56:41

要自研光刻机#芯片 #

行业芯事经验分享
中国芯动向发布于 2022-06-07 16:46:41

媒:2纳米预计2025年量产

纳米量产行业芯事时事热点
电子发烧友网官方发布于 2022-09-13 14:32:34

三星大规模采购光刻机#科技#科普#知识

光刻晶圆制造
小凡发布于 2022-09-25 16:25:50

SpringSoft Laker定制版图系统支持TSMC跨平

SpringSoft Laker定制版图系统支持TSMC平台制程设计套件 IC设计软件全球供货商SpringSoft, Inc.近日宣布,Laker定制版图自动化系统(Custom Layout Automation Syste
2009-07-30 08:11:201005

传3nm工艺延期 回应#芯片制造

工艺芯片制造行业资讯
硬声科技热点发布于 2022-10-20 16:45:35

延迟!正式做出回应了#芯片制造

芯片制造行业资讯
硬声科技热点发布于 2022-10-21 14:05:52

英飞凌、TSMC扩大合作,携手65纳米嵌入式闪存工艺

英飞凌、TSMC扩大合作,携手65纳米嵌入式闪存工艺 英飞凌科技股份公司与台湾积体电路制造股份有限公司近日共同宣布,双方将在研发和生产领域扩大合作,携手开发
2009-11-10 09:02:381977

产能利用率下滑,鼓励员工多休假#芯片制造芯片制造

芯片制造行业资讯
新知录发布于 2022-10-26 14:33:46

高通携手TSMC,继续28纳米工艺上合作

高通携手TSMC,继续28纳米工艺上合作 高通公司(Qualcomm Incorporated)与其专业集成电路制造服务伙伴-TSMC前不久日共同宣布,双方正在28纳米工艺技术进行密切合作。此
2010-01-13 08:59:23910

台积电扩大与大陆集成电路产业化基地和技术中心合作

台积电扩大与大陆集成电路产业化基地和技术中心合作  TSMC今日宣布,扩大与中国大陆地区的集成电路产业化基地和技术中心合作,积极推展这种成功的合作模式与经
2010-02-22 11:24:442034

SpringSoft推出Laker系统支持TSMC定制设计

SpringSoft近日宣布,其Laker系统获TSMC采用并应用于混合信号、内存与I/O设计。Laker系统提供统一的、验证有效的设计实现流程,支持涵盖各种应用的TSMC定制设计需求。 作为
2010-06-10 15:08:481154

Giantec采用Cadence技术统一数字流程生产其混合信号芯片

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS),宣布Giantec Semiconductor Corp.已采用Cadence® Virtuoso®统一定制/模拟(IC6.1)以及Encounter®统一数字流程生产其混合信号芯片。
2011-09-27 11:06:261483

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台
2012-10-22 16:48:03909

TSMC授予Cadence两项“年度合作伙伴”奖项

电子发烧友网讯: TSMC授予Cadence两项年度合作伙伴奖项,两项大奖表彰Cadence在帮助客户加快设计的3D-IC CoWoS技术与20纳米参考流程方面的重要贡献。 TSMC授予全球电子设计创新领先企业
2012-11-07 11:48:07928

Cadence采用全新可支持电学感知设计的Virtuoso版图套件

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布推出用于实现电学感知设计的Virtuoso®版图套件,它是一种开创性的定制设计方法,能提高设计团队的设计生产力和定制IC的电路性能。
2013-07-15 17:13:142080

TSMC和Synopsys携手将定制设计扩展到16纳米节点

2013年9月— 为加速芯片和电子系统创新而提供软件、知识产权(IP)及服务的全球性领先供应商新思科技公司日前宣布:Synopsys Laker®定制设计解决方案已经通过TSMC 16-nm
2013-09-23 14:45:301050

TSMCCadence 合作开发3D-IC参考流程以实现真正的3D堆叠

9月25日——全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)今天宣布,台积电与Cadence合作开发出了3D-IC参考流程,该流程带有创新的真正3D堆叠。该流程通过
2013-09-26 09:49:201346

海思扩大采用Cadence Palladium XP平台 用于移动和数字媒体SoC与ASIC开发

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,海思半导体(HiSilicon Semi)进一步扩大采用Cadence® Palladium® XP 验证运算平台作为其仿真方案,运用于移动和数字媒体System-on-Chip (SoC) 与 ASIC开发。
2014-05-13 16:19:032137

Virtuoso_cadence教学

IC design 集成电路的设计流程以及cadence的简介,使用方法和入门须知。
2016-03-14 14:11:3325

联华电子认证Cadence Virtuoso LDE Analyzer适用于其28HPCU制程

 4月15日,中国上海—楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布Cadence® Virtuoso® 版图依赖效应(Layout-Dependent Effects
2016-04-15 10:09:071939

# #冷战 张忠谋回母校演讲称:应避免冷战

行业资讯
深圳市浮思特科技有限公司发布于 2023-10-26 17:17:08

中关村芯园与Cadence达成平台合作协议

2017年1月5日,中国上海 —— 楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日与国家集成电路设计北京产业化基地—中关村芯园(北京)有限公司联合宣布,双方将签订平台合作协议,将Cadence最前沿的设计工具平台和创新技术注入到中关村芯园的集成电路公共服务平台之中。
2017-01-05 15:06:071252

Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台

工艺的早期客户展开紧密合作Cadence成功完成了Virtuoso定制设计平台的功能拓展,新平台能帮助客户管理由于先进工艺所导致的更复杂的设计以及特殊的工艺效应。
2017-04-18 11:09:491165

全新Cadence Virtuoso系统设计平台帮助实现IC、封装和电路板无缝集成的设计流程

Virtuoso系统设计平台),结合Cadence Virtuoso平台与Allegro® 及Sigrity™技术,打造一个正式的、优化的自动协同设计与验证流程。
2017-06-13 14:26:282937

基于Cadence Virtuoso 设计平台的单片射频收发集成电路的设计过程

电路、对基带低频大信号有高线性度要求的模块、发射端大电流的PA 模块、锁相环频率综合器中的数字块,以及非线性特性的VCO等各具特点的电路。众多的电路单元及其丰富的特点必然要求在这种系统的设计过程中有一个功能丰富且强大的设计平台。在综合比较后,本文选定了Cadence Virtuoso定制IC 设计工具。
2018-06-06 09:37:0010797

Cadence 与 ARM 未来的合作方向

2011ARM Techcon上,Cadence的市场部负责人Pankaj为我们介绍了Cadence与ARM未来几年的合作计划
2018-06-26 14:11:004320

WillSemi采用的Cadence Virtuoso定制IC设计平台有哪些优点?

采用Virtuoso电路原理图编辑器与Virtuoso版图套件将总周转时间缩短30-50%:Virtuoso电路原理图编辑器内置种类齐全的的,用于各种仿真的,定义明确的元件库,可以加快模拟电路
2018-08-08 18:11:111324

定制平台IC5141使用说明

cadence 公司 IC5141 工具主要包括集成平台 design frame work II、原理图编辑工具 virtuoso schematic editor、仿真工具、版图编辑工具
2020-07-21 08:00:003

新思联合TSMC实现新一代芯片设计

来源:新思科技 重点 ● 半导体市场日益增长的需求推动最先进芯片制造的发展 ● 新思科技与TSMC开展广泛合作,利用新思科技全流程数字和定制设计平台,有效发挥TSMC 3nm制造技术(N3)的PPA
2020-10-14 10:47:571764

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

)宣布,其数字和定制/模拟流程已获得 TSMC N3 和 N4 工艺技术认证,支持最新的设计规则手册(DRM)。通过持续合作CadenceTSMC 发布了 TSMC N3 和 N
2021-10-26 15:10:581928

Cadence数字和定制 / 模拟设计流程获得N4P工艺认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,其数字和定制 / 模拟设计流程已获得 TSMC N3E 和 N4P 工艺认证,支持最新的设计规则手册(DRM)。
2022-06-17 17:33:054800

Cadence扩大与Samsung Foundry的合作,共同推进3D-IC设计

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)作为“三星先进代工厂生态系统(SAFE)”中的合作伙伴,于今日宣布扩大与 Samsung Foundry 的合作,以加速 3D-IC
2022-10-25 11:05:04621

Cadence宣布推出性能领先的22Gbps GDDR6 IP并在TSMC N5工艺上通过硅验证

Cadence 致力于扩大我们的 IP 产品组合,以满足客户不断变化的设计要求。客户现在可以信心满满地在 TSMC N5 工艺节点上利用 Cadence GDDR6 设计 IP 实现更高的带宽。
2022-11-22 10:24:51738

Cadence荣获六项2022 TSMC OIP年度合作伙伴大奖

中国上海,2022 年 12 月 14 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,其 EDA、IP 和云计算解决方案获得了 TSMC 颁发的六项 Open
2022-12-14 11:42:341152

Cadence拓展与台积电和微软的合作,携手推进云端千兆级物理验证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布扩大与台积电和微软的合作,致力于加快千兆级规模数字设计的物理验证。通过此次最新合作,客户可以在带有 Cadence
2023-04-26 18:05:45710

Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Virtuoso Design Platform 的节点到节点设计迁移流程,能兼容所有的台积电先进节点
2023-05-06 15:02:15801

Cadence发布基于Integrity 3D-IC平台的新设计流程,以支持TSMC 3Dblox™标准

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Integrity 3D-IC 平台的新设计流程,以支持 TSMC 3Dblox 标准。TSMC
2023-05-09 09:42:09615

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

快来测测你对 Virtuoso Studio 了解多少?(第二期)

了新一代定制设计平台 Cadence Virtuoso Studio ,该平台采用全新的底层架构,以独特的方法来管理设计流程,可将当今大型设计的设计同步吞吐量提升 3 倍,是面临大型复杂项目和有短时间
2023-06-13 12:15:02557

Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

● Samsung Foundry 有众多 PDK 系列,可搭配 Virtuoso Studio 用于简化模拟、定制和射频设计,最高支持 SF 2nm 技术 ● Virtuoso Studio
2023-06-30 10:08:30681

Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
2023-07-04 10:10:01471

Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

已经过 SF2 和 SF3 流程认证 ●  Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ● Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio
2023-07-05 10:10:01322

Cadence数字和定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

已经过 SF2 和 SF3 流程认证 ● Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ●Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio,已针对
2023-07-05 10:12:14381

Cadence 扩大了与 Samsung Foundry 的合作,依托 Integrity 3D-IC平台提供独具优势的参考流程

平台支持 Samsung 新的 3D CODE 标准,助力设计人员创建多种先进的封装技术。 ❖  Cadence 和 Samsung 的技术为客户提供全面、定制化的解决方案。适用于能够缩短 3D-IC
2023-07-06 10:05:04329

Virtuoso Studio 大神集结!寻找对平台了如指掌的你(第三期)

了新一代定制设计平台 Cadence Virtuoso Studio ,该平台采用全新的底层架构,以独特的方法来管理设计流程,可将当今大型设计的设计同步吞吐量提升 3 倍,是面临大型复杂项目和有短时间
2023-07-11 12:15:02241

Virtuoso Studio 大神集结!寻找对平台了如指掌的你(第四期)

了新一代定制设计平台 Cadence Virtuoso Studio ,该平台采用全新的底层架构,以独特的方法来管理设计流程,可将当今大型设计的设计同步吞吐量提升 3 倍,是面临大型复杂项目和有短时间
2023-09-01 12:20:01413

Cadence Virtuoso版图设计工具之Virtuoso CIW界面介绍

Cadence Virtuoso定制设计平台的一套全面的集成电流(IC)设计系统,能够在多个工艺节点上加速定制IC的精确芯片设计,其定制设计平台为模拟、射频及混合信号IC提供了极其方便、快捷而精确的设计方式。
2023-09-11 15:14:163199

Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

、64G-LR 多协议 PHY、LPDDR5x/5、GDDR7/6 和 UCIe 中国上海,2023 年 9 月 26 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布扩大其在 TSMC 3nm(N3E)制程上的设计 IP 产品组合,其中最引人注目
2023-09-26 10:10:01320

Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

● AI 驱动的 Cadence Virtuoso Studio 助力 IC 设计在 TSMC 的制程技术之间实现迁移时自动优化电路 ●  新的生成式设计技术可将设计迁移时间缩短
2023-09-27 10:10:04301

Cadence射频集成电路解决方案

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布与台积电(TSMC合作将新推出的 Cadence Virtuoso Studio 集成到 TSMC N16 毫米波设计参考流程
2023-09-28 10:10:02533

Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

的生产力 基于 AI 驱动的 Virtuoso Studio 的定制/模拟流程支持电路优化,功能经过增强,可将设计迁移吞吐量提升 3 倍 双方的共同客户正在积极使用 N2 PDK 来开发 AI、大规模计算
2023-10-10 16:05:04270

Cadence 荣获四项 2023 TSMC OIP 年度合作伙伴大奖

和 IP 设计解决方案获得了 TSMC 颁发的四项 Open Innovation Platform (OIP)年度合作伙伴大奖。 这些奖项旨在表彰 Cadence 在联合开发 N2 和 N3P
2023-10-23 11:55:02378

Cadence 与 Arm Total Design 合作,加速开发基于 Arm 的定制 SoC

Subsystems(CSS)的高度差异化定制 SoC。 作为此次合作成果的一部分,Arm 和 Cadence 客户可以访问 Cadence 的全流程系统级验证及实现解决方案,加快 SoC 设计流程。 Neoverse CSS
2023-10-25 10:40:02197

Cadence宣布与Arm合作,提供基于芯粒的参考设计和软件开发平台

中国上海,2024 年 3 月 19 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布与 Arm 公司合作,提供基于芯粒的参考设计和软件开发平台,以加速软件定义汽车(SDV)取得创新。
2024-03-19 11:41:16234

已全部加载完成