电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>制造新闻>Cadence和GLOBALFOUNDRIES合作改进20及14纳米节点DFM签收

Cadence和GLOBALFOUNDRIES合作改进20及14纳米节点DFM签收

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Intel首次与三星合作代工14纳米处理器 Intel暂缓以色列工厂计划

的10nm制造工艺。英特尔在改进流程方面取得了成功,但是流程的延迟以及部署相关生产线的直接挑战显然是一个过高的商业风险。 为了解决14纳米制程欠缺,英特尔首次找到三星代工生产14纳米处理器,同时,在以色列,英特尔今年初不安不对以色列工程投
2019-06-19 09:05:00629

台积电回应GlobalFoundries的诉讼:指控毫无根据

项专利,并自然而然地认为自己是该行业的领导者之一。 周一,GlobalFoundries表示,台积电及其众多客户以及各种产品的制造商侵犯了其16项专利,涉及芯片制造的各个方面。特别是,GlobalFoundries声称台积电的7纳米,10纳米,12纳米,16纳米和28纳米节点非法使用其知识产权
2019-08-29 10:24:105579

Cadence首个DDR4 Design IP解决方案在28纳米级芯片上得到验证

Cadence宣布业内首个DDR4 Design IP解决方案在28纳米级芯片上得到验证
2012-09-10 09:53:241403

以28纳米为基础,赛灵思(Xilinx)20纳米继续超越

赛灵思的20纳米产品以备受市场肯定的28纳米制程突破性技术为基础,提供超越一个技术世代的系统效能、功耗和可编程系统整合度,继续超越下一代!
2012-12-03 09:48:01876

三星:也来看看我们的14nm晶圆吧

三星14nm同样引入了FinFET晶体管技术,而且又类似GlobalFoundries、联电,三星也使用了14+20nm混合工艺,大致来说就是晶体管是14nm的,其它各部分则都是20nm的。
2013-02-08 15:13:141742

GlobalFoundries抢单大战告捷 获联发科28纳米订单

GlobalFoundries(GF) 在2012年底28纳米制程良率迅速提升,对台系晶圆代工厂联电造成的冲击持续扩大,继拿下高通(Qualcomm)订单后,日前再度打入联发科供应链, 双双坐稳台积电之外的第二供应商地位。
2013-03-12 09:11:43771

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于台积电16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441509

14纳米工艺节点会给设计带来哪些挑战?

据国际物理系统研讨会(ISPD)上专家表示:实现14纳米芯片生产可能会比原先想象的更困难;14纳米节点给设计师带来了许多挑战。这些困难和挑战何在?详见本文...
2013-04-08 09:30:513499

Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

Cadence设计系统公司(Cadence Design Systems, Inc.)(纳斯达克代码:CDNS)今日宣布与TSMC签订了一项长期合作协议,共同开发16纳米FinFET技术,以其适用于
2013-04-09 11:00:05798

英飞凌与GLOBALFOUNDRIES宣布围绕40nm嵌入式闪存工艺进行合作

英飞凌科技与GLOBALFOUNDRIES 公司今日宣布,双方围绕40纳米(nm)嵌入式闪存(eFlash)工艺,签订一份合作技术开发与生产协议。
2013-05-02 12:27:171397

Cadence推出Tempus时序签收解决方案

为简化和加速复杂IC的开发,Cadence 设计系统公司 (NASDAQ:CDNS) 今天推出Tempus™ 时序签收解决方案。这是一款新的静态时序分析与收敛工具,旨在帮助系统级芯片 (SoC) 开发者加速时序收敛,将芯片设计快速转化为可制造的产品。
2013-05-21 15:37:372929

台积电认可Cadence Tempus时序签收工具用于20纳米设计

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电(TSMC)在20纳米制程对全新的Cadence® Tempus™时序签收解决方案提供了认证。该认证
2013-05-24 11:31:171345

20纳米战火炽 FPGA商竞推全新架构

进入20纳米节点后,FPGA厂商除了比拼先进制程技术外,亦开始推出全新的设计架构及开发工具,助力客户突破20纳米以下FPGA产品将遇到的设计瓶颈,让FPGA市场竞争战况愈来愈激烈。
2014-01-29 11:59:021130

中芯长电14纳米硅片凸块量产 弯道超车新机遇?

中芯长电半导体有限公司28日在江阴宣布正式开始为美国高通公司提供14纳米硅片凸块量产加工。这标志着中芯长电成为中国大陆第一家进入14纳米先进工艺技术节点产业链并实现量产的半导体公司。
2016-08-02 13:45:43975

GF技术长:7纳米全球四强争霸,10纳米制程性价比不佳

我们的FinFET制程分为两个世代,包括14纳米和7纳米。过去我们的14纳米是和三星电子(Samsung Electronics)合作,在7纳米上我们选择不同技术,加上收购IBM资产后,我们的研发资源变广,因此决定自己开发7纳米制程技术。
2016-11-03 09:17:281478

格芯发布为IBM系统定制的14纳米FinFET技术

美国加利福尼亚圣克拉拉,2017年9月20日 – 格芯(GLOBALFOUNDRIES)正在提供其为IBM的下一代服务器系统处理器定制的量产14纳米高性能(HP)技术。这项双方共同开发的工艺
2017-09-27 11:11:299887

Cadence 与 F1 方程赛迈凯伦车队合作掀开新篇章

内容提要   · Cadence 成为 F1 迈凯伦车队的官方技术合作伙伴,双方将展开长期合作 · Cadence 创新性 CFD 软件助力迈凯伦在更短的时间内优化设计,将大幅提升其设计可靠性
2022-05-31 15:36:45977

纳米定位平台跟纳米平台的区别是什么?

纳米定位平台跟纳米平台的区别是什么?
2015-07-19 09:42:13

GF退出7纳米大战 三国鼎立下中国芯路在何方

`7纳米芯片一直被视为芯片业“皇冠上的珍珠”,令全球芯片企业趋之若鹜。在大家热火朝天地竞相布局7纳米工艺时,全球第二大的芯片大厂GlobalFoundries(格罗方德,格芯,以下简称GF)突然宣布
2018-09-05 14:38:53

PCB 设计布线 Cadence 20问 精选资料分享

PCB 设计布线 Cadence 20问EDA365电子论坛EDA365电子论坛功能介绍 EDA365网站官方公众号,是国内最受欢迎的电子论坛,涵盖电子硬件、单片机、射频、电源、EMC、PCB设计
2021-07-19 06:15:33

PCB工艺中的DFM通用技术

性和可装配性等因素。所以DFM又是并行工程中最重要的支持工具。它的关键是设计信息的工艺性分析、制造合理性评价和改进设计的建议。本文我们就将对PCB工艺中的DFM通用技术要求做简单介绍。
2021-01-26 07:17:12

Voltus-Fi定制型电源完整性解决方案

Voltus-Fi 定制型电源完整性解决方案采用Spectre加速并行仿真器APS进行SPICE级仿真,提供一流的晶体管级EMIR精度。完善了Cadence的电源签收解决方案。本方案具备晶体管级的电
2018-09-30 16:11:32

【下载】《Cadence高速电路板设计与仿真:信号与电源完整性分析》——学习allegro/orcad的桌面参考书

的详细介绍可以百度搜索“华秋DFM”官方链接内容简介:  《Cadence高速电路板设计与仿真:信号与电源完整性分析(第4版)》以Cadence Allegro SPB 16。3为基础,以具体的高速
2017-07-18 18:12:07

两公司合作开发纳米管生物传感器

  Nano-Proprietary旗下的Applied Nanotech公司与Funai Electric先进应用技术研究所日前宣布,双方将针对一个研究项目进行合作,共同开发基于酶涂层碳纳米
2018-11-19 15:20:44

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2年一次?
2021-02-01 07:54:00

低功耗DFM和高速接口

的。65纳米工艺节点管理成本是随着计划团队规模越来越大而呈现增长之势。与90纳米的12%到15%相比,65纳米的计划管理成本占总设计成本的比例大幅上升到20%至25%。造成65纳米设计成本高昂的另一个
2019-05-20 05:00:10

华秋 DFM 软件使用教程

,支持 Cadence allegro、Altlum Designer、Protel99SE、PADS、Gerber、ODB++格式。可一键快速定位、精准诊断设计隐患,更有“DFM 设计规范、不规范
2021-04-25 14:06:14

怎么把AD14中的PCB 转到cadence

公司都是用AD14画图。但是让我用cadence仿真。我真是努力学了一个月但是最后发现网上说的AD原理图、PCB转cadence根本转不了。首先第一步在AD 里把原理图以.dsn格式保存,我就保存不了。。。。。。哪位大神会呀,帮帮忙。
2015-06-23 15:54:17

环保纳米新材料

其他普通纳米氧化钛产品性能提高的10-20倍。将调试好的JR05水溶液直接喷于新装修的墙体或家具,3个小时左右就能去除甲醛、苯等有害气体所释放的刺激性异味,而普通产品处理时至少需要272小时。积极响应
2011-11-12 09:57:00

英特尔将在2014年推出14纳米处理器芯片

`英特尔最近披露称,它终于首次使用14纳米加工技术制造成功试验的芯片电路。英特尔计划在2013年使用14纳米加工技术生产代号为“Broadwell”的处理器。英特尔北欧及比利时、荷兰、卢森堡经济联盟
2011-12-05 10:49:55

请问14纳米的ARM 处理器和14纳米的X86移动处理器那个更省电?​

14纳米的ARM 处理器和14纳米的X86移动处理器那个更省电?​
2020-07-14 08:03:23

cadence 视频教程 (第20课)

cadence 视频教程 (第20课):adence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 19:15:390

cadence视频教程 (第14课)

cadence视频教程 (第14课):adence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 19:27:030

中芯国际采用Cadence DFM解决方案用于65和45纳米

中芯国际采用Cadence DFM解决方案用于65和45纳米 IP/库开发和全芯片生产 Cadence 模型化的 Litho Physical 和 Litho Electrical
2009-10-19 17:48:11461

中芯国际采用 Cadence DFM 解决方案用于65和45

Cadence 模型化的 Litho Physical 和 Litho Electrical AnalyzerLitho Physical 与 Litho Electrical Analyzer 解决方案提供了快速、精确硅认证的全芯片电气 DFM 验证流程
2009-10-20 09:54:02990

中芯国际(SMIC)和Cadence 共同推出用于65纳米

中芯国际(SMIC)和Cadence 共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 完全集成的能效型流程令快速、轻松地设计低功耗尖端器件成为可能
2009-10-31 07:48:011228

中芯国际(SMIC)和Cadence共同推出用于65纳米的低

中芯国际(SMIC)和Cadence共同推出用于65纳米的低功耗解决方案Reference Flow 4.0 全球电子设计创新领先企业Cadence设计系统公司今天宣布推出一款全面的低功耗设计流程,面向
2009-11-04 17:05:17589

台积电与联电大客户赛灵思合作28纳米产品

台积电与联电大客户赛灵思合作28纳米产品 外电引用分析师资讯指出,联电大客户赛灵思(Xilinx)3月可能宣布与台积电展开28纳米制程合作;台积电28纳米已确定取得富
2010-01-19 15:59:551058

IBM与ARM将合作开发14纳米半导体技术

据外国媒体报道,IBM和ARM计划加强移动电子市场合作的同时,还会共同合作提高14纳米半导体技术。
2011-01-19 08:09:55330

赛灵思可能领先于Altera进入28纳米节点

继在40纳米节点上落后于Altera之后,可编程逻辑器件厂商赛灵思有望取得明显成长,可能在28纳米节点再度从Altera手中夺回技术领先地位。
2011-03-21 09:45:04448

三星使用Cadence统一数字流程实现20nm芯片流片

三星电子有限公司使用Cadence统一数字流程,从RTL到GDSII,成功实现了20纳米测试芯片的流片
2011-07-27 08:47:49967

GlobalFoundries流片20nm测试芯片

GlobalFoundries日前试产了20nm测试芯片,该芯片采用Cadence,Magma,Mentor Graphics和Synopsys的设计工具。此次试制的测试芯片使用了双重图形(Double Patterning),每家EDA合作伙伴都提供了大量的布局
2011-09-01 09:53:111269

微捷码Quartz DRC物理验证通过GF 28nm验证

微捷码Quartz DRC物理验证解决方案通过了质量检验,可支持GLOBALFOUNDRIES的28纳米及28纳米以下技术DRC+流程,紧密集成的Quartz DRC和Talus RTL-to-GDSII解决方案让GLOBALFOUNDRIESDFM流程实现了自动化
2011-09-08 09:47:221050

格罗方德半导体宣布为20纳米设计流程提供支持

格罗方德半导体(GLOBALFOUNDRIES )日前宣布了该公司推进尖端20纳米的制造工艺走向市场的一项重大的进展。罗格方德半导体利用电子设计自动化(EDA)的先进厂商如Cadence Design Systems、Magma De
2011-09-20 08:49:00711

ARM和台积电完成首个20纳米Cortex-A15处理器设计

ARM与台湾晶圆代工大厂台积电(TSMC)日前共同宣布,双方已顺利合作完成首件采用20纳米工艺技术生产的ARM Cortex-A15处理器设计定案(Tape Out)。藉由台积电在开放创新平台上建构完成的20纳米
2011-10-24 09:32:56854

台积电20纳米设计达阵

晶圆代工龙头台积电(2330)研发副总经理蒋尚义昨(18)日重申,台积电已经和计算机处理器架构平台供应商安谋(ARM)完成第一个20纳米设计案
2011-11-21 09:30:28837

一种基于可移动锚节点的DV-HOP改进定位算法

提出一种基于移动锚节点改进DV-Hop定位算法。在网络中引入具有一定移动能力的锚节点,并构建锚节点之间的虚拟力模型,锚节点受到虚拟力作用发生移动,从而均匀的分布于整个网
2012-01-12 10:20:5121

AMD 放弃 Globalfoundries股份,意在28nm节点

AMD在三月四日宣布,公司同意卖掉持有 Globalfoundries 14%的股份。两家公司代工协议修改的条件是AMD支付4.25亿美元资金,而AMD从此可以选择使用28纳米工艺的其他代工厂。
2012-03-06 16:57:16687

AMD与Globalfoundries协议分手 将转单台积电?

美商超微公司(AMD)日前宣布同意放弃该公司于Globalfoundries所持有的剩余14%股权,并支付给Globalfoundries公司4.25亿美元,作为两家公司之间增订代工协议的一部份。
2012-03-08 08:48:132193

GLOBALFOUNDRIES德累斯顿工厂出货第25万枚32纳米HKMG晶圆

2012年3月22日,中国上海——GLOBALFOUNDRIES今日宣布,其在德国德累斯顿的Fab1工厂已经出货了超过25万个基于32纳米高K金属栅制程技术(HKMG)的半导体晶圆。这一里程碑体现了GLOBALFOUNDRIE
2012-03-23 08:39:23650

GlobalFoundries开始安装20nm TSV设备

  GlobalFoundries 已开始在纽约的 Fab 8 厂房中安装硅穿孔(TSV)设备。如果一切顺利,该公司希望在2013下半年开始採用 20nm 及 28nm 製程技术製造3D堆叠晶片。
2012-05-01 10:13:121039

集成电路里程碑:三星与Cadence合推20纳米设计方法

Cadence与三星的合作为移动消费电子产品带来了新的工艺进展,使得20纳米及未来工艺节点设计成为可能。
2012-06-10 10:43:54984

台积电和ARM合作范围扩展至20纳米制程以下

昨日,半导体代工厂台积电和ARM达成一项多年期的合作协议,双方合作的范围将延续至20纳米制程以下。ARM官方表示,双方技术合作的目的,是让ARM芯片可运用于FinFET (鳍式场效晶体管
2012-07-24 10:41:12468

ARM和Globalfoundries联手研发20nm移动芯片

8月14日消息,ARM和芯片工厂Globalfoundries日前宣布,双方将联手研发20nm工艺节点和FinFET技术。 ARM之前和台积电进行了紧密合作,在最近发布了若干使用台积电28nm工艺节点制作的硬宏处理
2012-08-14 08:48:11636

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

TSMC授予Cadence两项“年度合作伙伴”奖项

电子发烧友网讯: TSMC授予Cadence两项年度合作伙伴奖项,两项大奖表彰Cadence在帮助客户加快设计的3D-IC CoWoS技术与20纳米参考流程方面的重要贡献。 TSMC授予全球电子设计创新领先企业
2012-11-07 11:48:07928

Cadence采用FinFET技术流片14纳米芯片

14纳米产品体系与芯片是ARM、Cadence与IBM之间在14纳米及以上高级工艺节点上开发系统级芯片(SoC)多年努力的重要里程碑。使用FinFET技术以14纳米标准设计的SoC能够大幅降低功耗。 这
2012-11-16 14:35:551270

三星、台积电将于2013年实现20纳米工艺量产

三星将于2013年采用20纳米技术,同时开始建造生产14纳米晶体管的工厂。台积电也会在2013年下半年开始采用20纳米技术生产晶体管。
2012-12-06 13:53:02651

台积电和三星将实现20纳米工艺量产

根据DigiTimes报道,三星将于2013年采用20纳米技术,同时开始建造生产14纳米晶体管的工厂。台积电也会在2013年下半年开始采用20纳米技术生产晶体管。 当前主流的智能手机芯片主要由高
2012-12-07 16:54:321124

三星与Synopsys合作实现首次14纳米FinFET成功流片

新思科技公司日前宣布:该公司与三星在FinFET技术上的多年合作已经实现了一个关键性的里程碑,即采用三星的14LPE工艺成功实现了首款测试芯片的流片
2013-01-09 12:11:311062

Cadence解决方案助力创意电子20纳米SoC测试芯片成功流片

光刻物理分析器成功完成20纳米系统级芯片(SoC)测试芯片流片。双方工程师通过紧密合作,运用Cadence解决方案克服实施和可制造性设计(DFM)验证挑战,并最终完成设计。
2013-07-09 15:53:24769

台积电TSMC扩大与Cadence在Virtuoso定制设计平台的合作

为专注于解决先进节点设计的日益复杂性,全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电已与Cadence在Virtuoso定制和模拟设计平台扩大合作以设计和验证其尖端IP。
2013-07-10 13:07:23842

联华电子28nm节点采用Cadence物理和电学制造性设计签收解决方案

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)今天宣布,历经广泛的基准测试后,半导体制造商联华电子(NYSE:UMC;TWSE:2303)(UMC)已采用Cadence® “设计内”和“签收”可制造性设计(DFM)流程对28纳米设计进行物理签收和电学变量优化。
2013-07-18 12:02:09905

华力微电子与Cadence共同宣布交付55纳米平台的参考设计流程

全球电子创新设计Cadence公司与上海华力微电子,15日共同宣布了华力微电子基于Cadence Encounter数字技术交付55纳米平台的参考设计流程。华力微电子首次在其已建立55纳米工艺上实现了从RTL到GDSII的完整流程。
2013-08-16 11:08:111383

华力微电子基于Cadence Encounter开发55纳米平台的参考设计流程

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)与上海华力微电子有限公司,今天共同宣布华力微电子基于Cadence ® Encounter® 数字技术交付出55纳米平台的参考设计流程。
2013-08-16 12:02:401445

中芯国际采用Cadence数字流程 提升40纳米芯片设计能力

中芯国际新款40纳米 Reference Flow5.1结合了最先进的Cadence CCOpt和GigaOpt工艺以及Tempus 时序签收解决方案, 新款RTL-to-GDSII数字流程支持Cadence的分层低功耗流程和最新版本的通用功率格式(CPF).
2013-09-05 10:45:031839

TSMC 和 Cadence 合作开发3D-IC参考流程以实现真正的3D堆叠

9月25日——全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)今天宣布,台积电与Cadence合作开发出了3D-IC参考流程,该流程带有创新的真正3D堆叠。该流程通过
2013-09-26 09:49:201346

CadenceGLOBALFOUNDRIES宣布最新合作成果

益华电脑宣布,晶圆代工业者GLOBALFOUNDRIES已经认证Cadence实体验证系统适用于65nm至14nm FinFET制程技术的客制/类比、数位与混合讯号设计实体signoff。同时
2014-03-25 09:33:50862

东芝公司将与GLOBALFOUNDRIES合作生产东芝FFSA™产品

东芝公司宣布,该公司将与GLOBALFOUNDRIES合作生产东芝的FFSA™(Fit Fast Structured Array)产品。
2014-04-07 13:57:47805

Cadence为台积电16纳米FinFET+制程推出IP组合

美国加州圣何塞(2014年9月26日)-全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布为台积电16纳米FinFET+ 制程推出一系列IP组合。
2014-10-08 19:19:22919

Mentor Graphics宣布与GLOBALFOUNDRIES合作开发工艺设计套件

Mentor Graphics公司(纳斯达克代码:MENT)今天宣布,正与 GLOBALFOUNDRIES 展开合作,认证 Mentor® RTL 到 GDS 平台(包括 RealTime
2015-11-16 17:16:231078

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:541026

Cadence 与 SMIC 联合发布低功耗 28纳米数字设计参考流程

“我们与 Cadence 密切合作开发参考流程,帮助我们的客户加快其差异化的低功耗、高性能芯片的设计,”中芯国际设计服务中心资深副总裁汤天申博士表示,“Cadence创新的数字实现工具与中芯国际28纳米工艺的紧密结合,能够帮助设计团队将28纳米设计达到更低的功耗以及更快的量产化。”
2016-06-08 16:09:562242

中芯长电将为高通提供14纳米硅片凸块量产加工

,中芯长电将为美国高通公司提供14纳米硅片凸块量产加工。这是中芯长电继规模量产28纳米硅片凸块加工之后,中国企业首次进入14纳米先进工艺技术节点产业链并实现量产。
2016-08-04 11:42:23854

中关村芯园与Cadence达成平台合作协议

2017年1月5日,中国上海 —— 楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日与国家集成电路设计北京产业化基地—中关村芯园(北京)有限公司联合宣布,双方将签订平台合作协议,将Cadence最前沿的设计工具平台和创新技术注入到中关村芯园的集成电路公共服务平台之中。
2017-01-05 15:06:071252

融入最佳叶节点改进粒子群算法

融入最佳叶节点改进粒子群算法_靳雁霞
2017-01-07 20:49:270

无线传感器网络节点定位算法的改进_许红艳

无线传感器网络节点定位算法的改进_许红艳
2017-03-15 10:49:580

基于改进APIT算法的无线传感器网络节点定位_戴天虹

基于改进APIT算法的无线传感器网络节点定位_戴天虹
2017-03-19 19:25:560

基于改进蝙蝠算法的无线传感器网络的移动节点部署_袁曦

基于改进蝙蝠算法的无线传感器网络的移动节点部署_袁曦
2017-03-19 19:25:560

Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台

2017年4月18日,中国上海 – 楷登电子(美国Cadence公司,NASDAQ: CDNS)今日正式发布针对7nm工艺的全新Virtuoso® 先进工艺节点平台。通过与采用7nm FinFET
2017-04-18 11:09:491165

14埃米节点暗示离原子极限不远了

随着半导体发展脚步接近未来的14埃米,工程师们可能得开始在相同的芯片上混合FinFET和纳米线或穿隧FET或自旋波晶体管,他们还必须尝试更多类型的内存;另一方面,14埃米节点也暗示着原子极限不远了…
2017-05-26 11:43:11995

4巨头强强联手合作开发7纳米工艺CCIX测试芯片

赛灵思、Arm、Cadence和台积公司今日宣布一项合作,将共同构建首款基于台积7纳米FinFET工艺的支持芯片间缓存一致性(CCIX)的加速器测试芯片,并计划在2018年交付
2017-09-23 10:32:124003

加速时序签收步伐,应对复杂设计挑战

目前,花费在时序收敛与签收(Timing closure and signoff)上的时间接近整个设计实现流程时间的40%,复杂设计对实现时序收敛提出了更高的要求。但在Cadence公司芯片实现
2017-12-04 10:30:450

格芯宣布以7纳米制程生产的AMD Zen2 架构处理器将在2018年底前亮相

格芯之前跳过 20 纳米及 10 纳米制程节点,直接进入 14 纳米及 7 纳米制程节点14 纳米制程稳定量产,而 7 纳米制程预计在 2018 年底前量产。制程进展从合作伙伴 AMD 得到的反应都还不错,可让 AMD 的 Zen 2、Zen 3 架构处理器按计划执行生产。
2018-05-18 15:27:084917

Cadence 与 ARM 未来的合作方向

2011ARM Techcon上,Cadence的市场部负责人Pankaj为我们介绍了Cadence与ARM未来几年的合作计划
2018-06-26 14:11:004320

台积电与AMD合作双方看好 7纳米先进制程竞逐只剩两强

厂超微(AMD)也表示,所有7纳米产品包含服务器处理器与绘图芯片,都将交由台积电代工。消息曝光后台积电股价当日涨幅近4%,而AMD的累计涨幅也达14%,显示市场对于台积电与AMD两强的合作抱以乐观看待。
2018-09-10 10:17:003602

GlobalFoundries推出强化型55纳米CMOS逻辑制程

关键词:ARM , CMOS , GLOBALFOUNDRIES 2013-2-21 22:06:35 上传 下载附件 (73.33 KB) GLOBALFOUNDRIES推出强化型55纳米CMOS
2018-09-25 09:24:02279

新思科技与GLOBALFOUNDRIES合作 开发覆盖面广泛的DesignWare® IP组合

新思科技近日宣布与GLOBALFOUNDRIES (GF)合作,针对GF的12纳米领先性能(12LP) FinFET工艺技术,开发覆盖面广泛的DesignWare® IP组合,包括多协议25G
2019-07-05 09:13:133145

基于三星14纳米工艺的百度昆仑AI芯片将量产

这是三星电子和百度的首次半导体代工合作。百度昆仑AI芯片结合百度自主研发的神经处理器架构XPU和三星14纳米制造工艺,采用I-Cube封装解决方案,可广泛用于云计算和边缘计算。
2019-12-19 14:11:232746

台积电计划在今年第二季量产5纳米制程

格芯(GlobalFoundries)、联电、中芯等其它晶圆工厂,虽然已顺利投入12/14纳米制程,但因先进制程推进放缓,2014至2019年之间的每片晶圆营收贡献反而出现下滑,格芯在这5年内的每片晶圆营收贡献减少2%,联电减少14%,中芯则减少19%。
2020-03-02 14:28:521658

讲解台积电的7纳米节点技术设计规则细节

台积电发现,去年上半年,该公司7纳米节点的需求环比略有下降,约为1%。收入的大部分继续来自他们非常成熟的16纳米节点。然而,晶圆出货量略有增加,这是对第二季度的普遍预期。与长期趋势相比,这实际上是3年来第二季度的最低成交量。尽管如此,他们相信N7将达到全年收入的25%。
2020-07-29 10:58:404763

Snapdragon的875将采用5纳米节点的制造

一个新的报告来自中国声称,Snapdragon的875将采用5纳米节点的制造。值得注意的是,这不是新信息,因为它早些时候已经被揭示出来。
2020-11-05 14:45:191064

基于节点分类的改进k度匿名隐私保护方法

针对传统k度匿名隐私保护方法严重破坏图结构和无法抵抗结构性背景知识攻击的冋题,提岀改进的k度名隐私保护方法。引入社区的概念,将节点划分为社区内节点和连接社区的边缘节点两类,通过区分不同节点的重要实现
2021-05-26 15:46:014

华秋DFM专业设计分析软件-20万工程师都在使用

华秋DFM专业设计分析软件-20万工程师都在使用
2021-07-19 19:11:160

GlobalFoundries在AWS上完成对Cadence数字解决方案的认证

GlobalFoundries 在 AWS 上完成了对 Cadence 数字解决方案的认证,可用于其专有的差异化 22FDX 平台。
2022-03-28 11:17:461812

Cadence扩大与Samsung Foundry的合作,共同推进3D-IC设计

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)作为“三星先进代工厂生态系统(SAFE)”中的合作伙伴,于今日宣布扩大与 Samsung Foundry 的合作,以加速 3D-IC
2022-10-25 11:05:04621

Cadence拓展与台积电和微软的合作,携手推进云端千兆级物理验证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布扩大与台积电和微软的合作,致力于加快千兆级规模数字设计的物理验证。通过此次最新合作,客户可以在带有 Cadence
2023-04-26 18:05:45710

已全部加载完成