电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>嵌入式新闻>Cadence获得TSMC的“客户首选奖”

Cadence获得TSMC的“客户首选奖”

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Cadence携手TSMC开发3D IC设计基础架构

全球电子设计创新企业Cadence设计系统公司日前宣布其与TSMC在3D IC设计基础架构开发方面的合作。
2012-06-11 09:47:431071

台积电认可Cadence Tempus时序签收工具用于20纳米设计

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电(TSMC)在20纳米制程对全新的Cadence® Tempus™时序签收解决方案提供了认证。该认证
2013-05-24 11:31:171345

Cadence设计工具通过台积电16nm FinFET制程认证

Cadence系统芯片开发工具已经通过台积电(TSMC) 16纳米 FinFET制程的设计参考手册第0.1版与 SPICE 模型工具认证,客户现在可以享用Cadence益华电脑流程为先进制程所提供的速度、功耗与面积优势。
2013-06-06 09:26:451236

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12784

TSMC.18 BCD PDK是什么?有何作用?

TSMC.18 BCD PDK是什么?TSMC.18 BCD PDK有什么用?
2021-06-22 06:20:55

TSMC130_PDK是什么?如何去安装?

TSMC130_PDK是什么?如何去安装?
2021-06-25 07:20:53

cadence virtuoso教程

cadence virtuoso教程 1990-2006 Cadence Design Systems, Inc. All rights reserved.Printed
2012-08-10 18:37:59

cadence教程

`<p><font face="Verdana">cadence教程</font&
2008-07-12 23:11:21

一博科技荣获Cadence用户大会杰出论文

`热烈庆祝一博科技荣获Cadence2013用户大会杰出论文!EDA业内瞩目的年度活动Cadence用户大会CDNLive于2013年9月12日于北京香格里拉酒店召开。此会议集聚中国产业链高阶主管
2013-09-24 09:07:09

华秋电子荣获第六届“蓝点”分销商

“采购、流程与人力、库存”增效降本,切实帮助广大客户提升综合管理绩效。本次获得“十佳分销商”,肯定了华秋推动合作伙伴稳定增长的优秀服务能力,未来,我们将携手更多的供应商伙伴,全方位释放电子产业一站式服务平台的集群效应,高效提供可靠、短交期、标准化的一站式服务。
2023-02-27 15:11:40

可否分享一下64bit环境能用的TSMC130 PDK?

坛友们,有没有64bit环境能用的TSMC130 PDK?可否分享一下
2021-06-22 08:10:47

喜讯!华秋电子荣获第六届“蓝点”十佳分销商

、流程与人力、库存”增效降本,切实帮助广大客户提升综合管理绩效。本次获得“十佳分销商”,肯定了华秋推动合作伙伴稳定增长的优秀服务能力,未来,我们将携手更多的供应商伙伴,全方位释放电子产业一站式服务平台的集群效应,高效提供可靠、短交期、标准化的一站式服务。
2023-02-27 14:52:41

cadence ic版图设计中tsmc.18,宽长比4/0.18的mosfet怎么画?

本帖最后由 gk320830 于 2015-3-4 19:06 编辑 在cadence ic版图设计中tsmc.18,宽长比4/0.18的mosfet怎么画?有多少层?每一层什么意义?
2014-10-06 08:07:57

完整的TSMC 0.18um Mixed Signal SPICE MODEL for Hspice

完整的TSMC 0.18um Mixed Signal SPICE MODEL for Hspice 
2009-11-18 10:54:38

工艺库TSMC0.18um和TSMC0.18umrf有什么区别呢?

工艺库TSMC0.18um和TSMC0.18umrf有什么区别呢?求大神解答
2021-06-23 07:33:12

TSMC90nm的工艺库,请问可以分享一下吗?

TSMC90nm的工艺库,请问可以分享一下吗?
2021-06-22 06:21:52

求一份tsmc 7nm standard cell library

求一份tsmc 7nm standard cell library求一份28nm或者40nm 的数字库
2021-06-25 06:39:25

求助大神们,有没有TSMC90nm RF库

求助大神们,需要TSMC90nm RF库用于学习
2021-06-22 06:28:17

求大佬分享关于TSMC 0.18um dc数字单元的知识点

求大佬分享关于TSMC 0.18um dc数字单元的知识点
2021-06-21 07:29:20

热烈祝货新乡学院电子创新工作室成员获得全国机器人大赛ROBTAC二等

`热烈祝货新乡学院电子创新工作室成员获得全国机器人大赛ROBTAC二等`
2015-07-14 22:53:51

经过复赛存活下来的获国概率多大?

我们省43个队参加了复赛,有30几个对存活下来,活下来的获得的概率多大?
2013-09-17 15:15:16

请问各位大佬Cadence610能同时装两个工艺库吗?

请问各位大佬,Cadence610能同时装两个工艺库吗,例如TSMC和SMIC同时装上?
2021-06-25 07:42:12

走线不是首选宽度

按照视频里设置,走线不是首选宽度Pref Width=15mil,除GND是首选宽度Pref Width=15mil,其余走线都是最小宽度8mil
2019-03-18 04:27:10

(共享)Cadence 16.5 免费在线培训

Cadence公司在中国规模最大的增值代理商,科通也是Cadence公司唯一代理区域覆盖全国,唯一代理产品范围覆盖CadencePCB全线(Allegro和Orcad)的增值服务商。Cadence本着“客户
2012-03-01 22:08:33

cadence教程下载

cadence教程:Cadence Allegro简易手册Allegro PCB Layout SystemLab Manual .CHAPTER 1 熟悉环境在开始前请将范例复制到您的工作路径下如: <在安装路径下>sharepcbselfstudyuser1 􀃆 c:a
2008-07-12 09:09:440

cadence 视频教程 (第50课)

cadence 视频教程 (第50课):cadence SPB 15.7视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:20:320

cadence 视频教程(第045课)

cadence 视频教程(第045课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:24:310

cadence 视频教程 (第43课)

cadence 视频教程 (第43课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:41:260

cadence 视频教程 (第42课)

cadence 视频教程 (第42课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:42:460

cadence 视频教程 (第41课)

cadence 视频教程 (第41课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:44:010

cadence 视频教程 (第35课)

cadence 视频教程 (第35课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:49:310

cadence 视频教程 (第34课)

cadence 视频教程 (第34课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:50:360

cadence 视频教程 (第31课)

cadence 视频教程 (第31课):cadence SPB 15.7 视频教程,手把手教你学习cadence软件使用方法。本套视频教程是于博士信号完整性研究网于争博士主讲。从一个工程师的角度出发讲解软件的操
2009-09-16 18:54:490

TSMC向中国客户介绍车用电子工艺验证规格及套装服务

TSMC向中国客户介绍车用电子工艺验证规格及套装服务  TSMC今(27)日宣布,将于十二月二日在中国厦门所举行的中国半导体行业协会集成电路设计分会年会上,向中国客
2009-11-28 16:24:421144

意法推出新评估平台Cadence OrCAD PSpice

意法推出新评估平台Cadence OrCAD PSpice 意法半导体宣布成功开发一个新的评估平台,客户可以仿真意法半导体先进的模拟和功率芯片。Cadence® OrCAD®, PSpice®是一项稳健
2010-04-13 10:53:50970

TSMC拟大幅提升28nm晶元售价

虽然TSMC对于旗下28nm工艺依然保持着较为保守的态度,但是根据近期非官方的报道,由于来自官户的需求不断提升,TSMC将会对28nm晶元进行提价。
2011-09-16 09:30:03955

敦泰科技与TSMC达成1000万颗触控芯片出货里程碑

敦泰科技与TSMC近日共同宣布,由敦泰科技设计并委托TSMC生产制造的触控芯片(Touch-Panel Controller IC)已突破总出货一千万颗的里程碑
2011-09-24 01:24:041397

Cadence英文教程

Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol.
2012-03-15 14:37:060

苹果合作伙伴台积电TSMC加速量产28纳米芯片

台积电TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37829

直驱式风力发电系统中TSMC的研究

分析了将TSMC(双级矩阵变换器)作为直驱式永磁同步风力发电系的全功率变流器,并且分别对TSMC的整流级的PWM调制和逆变级空间矢量调制进行了推导和计算,简要分析了TSMC的换流方法
2012-04-20 11:55:0655

TSMC 28nm产能将优先提供NVIDIA使用

  TSMC28nm的产能,目前仍旧无法满足Qualcomm、AMD以及NVIDIA三家客户,似乎已经是不争的实施。
2012-05-15 08:37:20651

Mentor的CalibreLFD获得TSMC的20nm制造工艺认证

Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC的20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进行检查。光学临近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD获得TSMC的20nm制造工艺认证

电子发烧友网核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC的20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进
2012-10-08 16:00:14915

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

TSMC授予Cadence两项“年度合作伙伴”奖项

电子发烧友网讯: TSMC授予Cadence两项年度合作伙伴奖项,两项大奖表彰Cadence在帮助客户加快设计的3D-IC CoWoS技术与20纳米参考流程方面的重要贡献。 TSMC授予全球电子设计创新领先企业
2012-11-07 11:48:07928

Cadence致力于完善EDA工具,为业界提供整套方案

Cadence致力于完善EDA工具,为业界提供从系统设计验证、芯片实现到三维封装以及PCB板级的一整套方案。Cadence分别与TSMC及IBM合作生产出第一颗3D IC实验芯片和第一颗ARM Cortex-M0处理器。
2012-12-11 09:14:101102

Cadence教程:基于Cadence的IC设计

Cadence教程:基于Cadence的IC设计
2013-04-07 15:46:141

Cadence和GLOBALFOUNDRIES合作改进20及14纳米节点DFM签收

。GLOBALFOUNDRIES之所以采用Cadence模式分类和模式匹配解决方案,是因为它们可以使可制造性设计(DFM)加快四倍,这对提高客户硅片成品率和可预测性非常关键。
2013-05-13 10:20:02769

Cadence收购Evatronix IP业务,进军云端市场

通过对Evatronix公司的收购,Cadence就能顺理成章地进入移动、连线与云端市场领域。Evatronix公司提供的获得芯片验证的IP产品阵容,包括公认的 USB 2.0 /3.0、显示器
2013-05-20 09:27:462397

台积电TSMC扩大与Cadence在Virtuoso定制设计平台的合作

为专注于解决先进节点设计的日益复杂性,全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电已与Cadence在Virtuoso定制和模拟设计平台扩大合作以设计和验证其尖端IP。
2013-07-10 13:07:23842

中兴的Cadence教程

Cadence 软件是我们公司统一使用的原理图设计、PCB 设计、高速仿真、自动布线的EDA 工具。本篇 Cadence 使用手册是一本基于Allegro SPB V15.2 版本的Cadence 软件的基础使用手册,包括原理图设
2013-09-06 16:12:510

TSMCCadence 合作开发3D-IC参考流程以实现真正的3D堆叠

9月25日——全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS)今天宣布,台积电与Cadence合作开发出了3D-IC参考流程,该流程带有创新的真正3D堆叠。该流程通过
2013-09-26 09:49:201346

cadence光绘设计文件的输出

cadence光绘设计文件的输出技术的介绍,详细解答。CADENCE 光绘文件生成
2015-11-10 11:39:010

cadence_specctraquest仿真教程

cadence_specctraquest仿真教程_
2016-02-22 16:04:370

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:541026

TSMC代工Mac芯片,营收比A系列处理器如何

另外对于 TSMC 如果代工苹果 Mac 处理器,那么由此创造的营收将会大于他们代工A系列处理器获得的营收还要多。其实这样的假设也是不成立的。
2016-11-17 23:33:11902

浅析TSMC和FinFET工艺技术的Mentor解决方案

Mentor Calibre® nmPlatform 和 Analog FastSPICE™ (AFS™) Platform 获得 TSMC 12nm FinFET Compact
2017-10-11 11:13:422372

Cadence如何建立PCB?Cadence建立PCB步骤详解

Cadence 是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA 设计和PCB 板设计。Cadence 在仿真、电路图设计、自动布局布线、版图设计及验证等方面
2018-02-07 17:11:2126525

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

Synopsys设计平台用于高性能、高密度芯片设计 重点: Synopsys设计平台获得TSMC工艺认证,支持高性能7-nm FinFET Plus工艺技术,已成功用于客户的多个设计项目。 针对
2018-05-17 06:59:004461

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

Synopsys Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII
2018-06-01 09:35:003783

新思联合TSMC实现新一代芯片设计

(功耗、性能和面积)优势,同时加快产品上市时间 ● 新思科技进一步强化关键产品,以支持TSMC N3制造的进阶要求 新思科技(Synopsys)近日宣布,其数字和定制设计平台已获得TSMC 3nm制造技术验证。此次验证基于TSMC的最新设计参考手册(DRM)和工艺设计工具包(
2020-10-14 10:47:571764

Cadence宣布推出Cadence Safety Solution安全方案

Cadence Safety Solution 包括新的 Midas Safety Platform,为模拟和数字流程提供基于 FMEDA 功能安全设计和验证的统一方案 该安全流程方案为汽车、工业
2021-10-26 14:24:344050

楷登电子发布PCIe 6.0规范Cadence IP

中国上海,2021 年 10 月 22 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)宣布发布支持 TSMC N5 工艺的 PCI Express(PCIe)6.0 规范
2021-10-26 14:28:004024

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

CadenceTSMC 联手进行 N3 和 N4 工艺技术合作, 加速赋能移动、人工智能和超大规模计算创新 双方共同客户现可广泛使用已经认证的 N3 和 N4 流程 PDK 进行设计 完整
2021-10-26 15:10:581928

Cadence Integrity 3D-IC平台Ô支持TSMC 3DFabric技术,推进多Chiplet设计

Cadence 3D-IC Integrity 平台在统一的环境中提供 3D 芯片和封装规划、实现和系统分析。
2021-10-28 14:53:352114

基于Cadence的IC设计

基于Cadence的IC设计
2022-05-31 17:11:240

Cadence采用人工智能技术实现数字芯片设计自动化和扩展

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,随着新的生产部署完成,客户加速采用 Cadence® Cerebrus™ Intelligent Chip Explorer。
2022-06-14 16:42:301825

Cadence数字和定制 / 模拟设计流程获得N4P工艺认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,其数字和定制 / 模拟设计流程已获得 TSMC N3E 和 N4P 工艺认证,支持最新的设计规则手册(DRM)。
2022-06-17 17:33:054800

Cadence射频集成电路解决方案助力实现系统级芯片卓越设计

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,Cadence® 射频集成电路解决方案支持 TSMC 的 N6RF 设计参考流程和制程设计套件(PDK),加速推进移动、5G 及无线应用创新。
2022-06-22 16:34:011774

VIAVI公司PCIe 5.0分析仪助力Cadence解决方案通过测试

近日,Cadence Design Systems宣布,其 PHY 和控制器 IP 用于 TSMC N7、N6 和 N5 工艺中的 PCI Express® (PCIe®) 5.0 规范技术已在 4 月举行的业界首个 PCIe 5.0 规范合规性活动中通过了 PCI-SIG® 的认证测试。
2022-06-23 11:10:581405

Cadence提供集成PHY和控制器 IP完整子系统

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,众多领先的半导体和系统客户已成功采用面向 TSMC 5nm 制程技术的全系列 Cadence® 设计 IP 产品。
2022-06-24 14:52:461585

cadence教程视频

cadence视频教程
2022-07-31 10:57:490

cadence封装库

cadence封装库,减少封装工作量
2022-10-08 10:04:360

Cadence Voltus-XFi可用于Samsung Foundry的先进 5LPE 工艺技术

这一最新认证是 Cadence 和 Samsung Foundry 之间持续合作的成果,确保客户能够获得所需的先进设计软件,利用半导体代工技术的最新进展打造新的集成电路。Voltus-XFi
2022-10-14 14:42:30834

Cadence数字和定制/模拟设计流程获得台积电最新N4P和N3E工艺认证

中国上海,2022 年 10 月 27 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,Cadence 数字和定制/模拟设计流程已获得台积电最新 N4P 和 N3E
2022-10-27 11:01:37940

Cadence宣布推出性能领先的22Gbps GDDR6 IP并在TSMC N5工艺上通过硅验证

Cadence 致力于扩大我们的 IP 产品组合,以满足客户不断变化的设计要求。客户现在可以信心满满地在 TSMC N5 工艺节点上利用 Cadence GDDR6 设计 IP 实现更高的带宽。
2022-11-22 10:24:51738

Cadence添加logo的软件

Cadence添加logo的软件.客户经常会有把公司 logo 添加到 PCB 板卡上的需求。在 cadence 的 sourcelink 网站,有一个相应的解决方案。How to import
2022-12-02 16:31:190

Cadence荣获六项2022 TSMC OIP年度合作伙伴大奖

中国上海,2022 年 12 月 14 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,其 EDA、IP 和云计算解决方案获得TSMC 颁发的六项 Open
2022-12-14 11:42:341152

Pad Designer(Cadence焊盘制作)

PadDesigner(Cadence焊盘制作)
2023-02-01 14:41:490

导热结构胶获得储能客户认可,将在2023年正式量产10吨

15年行业经验分享 导热结构胶获得储能客户认可,将在2023年正式量产10吨
2023-03-31 15:27:53855

Cadence发布基于Integrity 3D-IC平台的新设计流程,以支持TSMC 3Dblox™标准

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Integrity 3D-IC 平台的新设计流程,以支持 TSMC 3Dblox 标准。TSMC
2023-05-09 09:42:09615

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

,2023 年 6 月 30 日——楷登电子(美国  Cadence  公司,NASDAQ:CDNS)近日宣布,基于 AI 的 Cadence Virtuoso Studio 设计工具和解决方案已获得 Samsung Foundry 认证。 双方的共同客户可以放心利用 Virtuoso Studio 和
2023-06-30 10:08:30681

Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

内容提要 ● Cadence 和 Samsung 的合作,使客户能够利用两个公司最新的技术,进行手机、汽车、AI 和超大规模设计的创新 ● 工程师们能够在 PDK 上设计 IC 产品,这些 PDK
2023-07-05 10:10:01322

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20407

Gartner全球超融合市场“客户之声”发布,安超云获评亚太区客户首选

增加,客户的使用体验和满意度反映了超融合厂商的产品水平和服务能力。真实的超融合用户都在关心什么?哪些厂商经受住考验而获得客户的青睐? 近日, Gartner 2023年Peer Insights客户评选结果出炉,在全栈超融合基础设施软件“客户
2023-09-20 16:52:21227

Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

●  112G-ELR SerDes 在 TSMC N3E 制程上的硅结果实现了最佳 PPA ●  多个 Cadence IP 测试芯片在 TSMC N3E 制程上成功流片,包括 PCIe 6.0 和 5.0
2023-09-26 10:10:01320

Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

● AI 驱动的 Cadence Virtuoso Studio 助力 IC 设计在 TSMC 的制程技术之间实现迁移时自动优化电路 ●  新的生成式设计技术可将设计迁移时间缩短
2023-09-27 10:10:04301

Cadence射频集成电路解决方案

和 N6RF 设计参考流程中,并增加了对 N4PRF 设计参考流程的支持。CadenceTSMC 的合作由来已久,此番合作进展将为双方的共同客户带来诸多好处,他们现在可以获取完整的 N16、N6
2023-09-28 10:10:02533

Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

内容提要 Cadence 数字全流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器 Cadence Cerebrus 由 AI 驱动,支持 N2 制程,可大幅提高客户
2023-10-10 16:05:04270

Cadence推出新版Cadence Allegro与 OrCAD PCB软件

新版Allegro与OrCAD使用多阶段预发布的方式确保内容与质量能够符合客户的需要。来自北美、欧洲、亚洲和日本的20多家客户参与了多阶段测试计划。参与测试计划的客户Cadence的合作伙伴包括
2023-10-17 14:55:31530

Cadence 荣获四项 2023 TSMC OIP 年度合作伙伴大奖

和 IP 设计解决方案获得TSMC 颁发的四项 Open Innovation Platform (OIP)年度合作伙伴大奖。 这些奖项旨在表彰 Cadence 在联合开发 N2 和 N3P
2023-10-23 11:55:02378

Cadence宣布收购Invecas

提供商 Invecas, Inc.。此次收购使 Cadence 获得了一支技术精湛的设计工程团队,他们拥有为客户提供跨芯片设计、产品工程、先进封装和嵌入式软件的定制解决方案专业知识。
2024-01-11 12:26:40502

已全部加载完成