电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>电子技术应用>电子技术>电路图>信号处理电子电路图>基于Verilog实现的DDS任意波形发生器

基于Verilog实现的DDS任意波形发生器

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

任意波形发生器维修AWG4162按钮失灵故障哪里

近日某院校送修泰克任意波形发生器AWG4162,客户反馈任意波形发生器面板按钮失灵,对仪器进行初步检测,确定与客户描述故障基本一致。本期将为大家分享本维修案例。
2023-10-24 16:00:1642

AFG3101C任意波形发生器

泰克AFG3101C任意波形发生器 AFG3101C 是 Tektronix 的 100 MHz 任意波形发生器任意波形发生器 (AWG) 是人们用来生成重复或单次电波形的一种电子测试设备。单次
2023-06-28 14:12:16114

Agilent安捷伦33120A任意波形发生器

安捷伦33120A任意波形发生器 33120A 是安捷伦的任意波形发生器任意波形发生器 (AWG) 是人们用来生成重复或单次电波形的一种电子测试设备。单次波形需要内部或外部触发源,而重复波形则不
2023-06-06 15:36:34279

Agilent安捷伦33621A任意波形发生器

  安捷伦33621A任意波形发生器 33621A 是安捷伦的任意波形发生器任意波形发生器 (AWG) 是人们用来生成重复或单次电波形的一种电子测试设备。单次波形需要内部或外部触发源,而重复波形
2023-06-06 15:21:29310

泰克AFG3101C任意波形发生器

泰克AFG3101C任意波形发生器 AFG3101C 是 Tektronix 的 100 MHz 任意波形发生器任意波形发生器 (AWG) 是人们用来生成重复或单次电波形的一种电子测试设备。单次
2023-06-05 17:26:1353

Agilent安捷伦33250A任意波形发生器

产品介绍 33250A 是 Agilent 的 80 MHz 函数/任意波形任意波形发生器 (AWG) 是人们用来生成重复或单次电波形的一种电子测试设备。单次波形需要内部或外部触发源,而重复波形
2023-03-31 14:40:52124

普源DG5102任意波形信号发生器的技术参数

DG5000系列函数/任意波形发生器任意波形发生器、脉冲发生器、IQ基带源/中频源、跳频源、码型发生器、函数发生器6大功能于一身;采用DDS直接数字频率合成技术,可生成稳定、精确、纯净和低失真
2023-03-07 15:35:56363

普源(RIGOL)DG811 函数任意波形发生器代理商

DG800系列函数/任意波形发生器产品简介: DG800系列函数/任意波形发生器是一款集函数发生器任意波形 发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、 模拟/数字调制、频率计等功能
2023-03-02 16:46:42129

普源DG1062Z函数/任意波形发生器技术参数

普源DG1062Z函数/任意波形发生器:60MHz,是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、谐波发生器、模拟/数字调制、频率计等功能于一身的多功能信号发生器。多功能、高性能、高性价比、便携式等特点为教育、研发、生产、测试等行业提供了新的选择。
2023-02-08 10:40:54367

任意波形发生器介绍

随着科技和行业的进步,越来越多的专业用户对波形发生器的频率、波形和精度提出了更高的需求。函数发生器由于其架构灵活性的限制,逐渐不能满足日益增长的需求。在这样的背景下,任意波形发生器(AWG)作为一种
2023-02-01 14:07:50380

西安安泰测试-现货热销DG4162函数/任意波形发生器

DG4000系列集函数发生器任意波形发生器,脉冲发生器,谐波发生器,模拟/数字调制,频率计等功能于一身的多功能信号发生器;该系列所有型号皆具有2个功能*相同的通道,通道间相位可调。 系列,最高
2022-12-08 15:23:25351

DDS信号发生器的理解与实现

DDS信号发生器采用直接数字频率合成(Direct Digital Synthesis,简称DDS)技术,把信号发生器的频率稳定度、准确度提高到与基准频率相同的水平,并且可以在很宽的频率范围内进行精细的频率调节。采用这种方法设计的信号源可工作于调制状态,可对输出电平进行调节,也可输出各种波形
2022-09-01 15:21:321617

浅谈任意波形发生器及通道合并功能

信号源是电工程师常用的一种测量仪器,而信号源又分为很多种,如正弦波信号源、脉冲发生器以及任意波形发生器等等。但任意波形发生器是信号源比较特殊的一种,它具有其它信号源波形生成的能力,比较适合用于各种仿真实验。
2022-08-16 16:00:18211

DDS信号发生器

现在用到DDS芯片做个信号发生器,可是不知道用DDS产生的波形的幅度是怎么得到的,要是想改变波形的幅度该怎么做?求解答。
2014-04-15 23:06:36

浅谈任意波形发生器及通道合并功能

 信号源是电工程师常用的一种测量仪器,而信号源又分为很多种,如正弦波信号源、脉冲发生器以及任意波形发生器等等。但任意波形发生器是信号源比较特殊的一种,它具有其它信号源波形生成的能力,比较适合用于各种仿真实验。
2022-08-16 15:38:391077

信号发生器任意波形发生器的区别是什么

现在很多一起都把信号发生器任意波形发生器做到了一起,差别不大。如果要把低频信号调制到高频射频信号中发射出去,这就需要看你具体要求的载波频率有多高了。有一部分的信号/任意波形发生器可以输出百兆级别
2022-03-28 16:55:372283

泰克任意/波形函数发生器的常用功能

您最常用的任意波形发生器功能是什么?根据调查全球工程师使用泰克任意/波形函数发生器的常用功能,结果显示60%以上的工程师只使用了其14.2%的功能。如何充分使用泰克的任意波形函数发生器满足您的测试
2022-03-28 16:52:012257

函数信号发生器是否与任意波形信号发生器相同

原理上是一样的,但是实现方法不一样吧,函数信号发生器是用数学运算的方法实现的,直接但是对单片机的运算能力要求较高,而任意波形发生器是通过波形叠加和频率合成的方法完成的,理论基础要求比较高。 函数
2022-03-23 14:03:401033

任意波形发生器

函数任意波形发生器 多合一信号发生器· 连续波形发生器——最高频率可达500 MHz· 脉冲信号发生器——最快上升/下降时间可达1 ns,低抖动,脉宽和沿精细可调
2022-03-07 15:26:07

任意波形发生器

函数任意波形发生器 多合一信号发生器· 连续波形发生器——最高频率可达500 MHz· 脉冲信号发生器——最快上升、下降时间可达2 ns,低抖动,脉宽和沿精细可调· 
2022-03-07 14:48:10

DG800系列函数/任意波形发生器的特点

DG800系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人性化的界面设计,带来友好的用户体验。
2022-01-14 16:10:20477

普源任意波形发生器DG2102/2072/2052的特点

DG2000系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人
2021-12-22 10:53:02811

DG952、DG972、DG992任意波形发生器

DG900系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人
2021-12-21 17:45:08468

DG2000系列函数任意波形发生器的功能特性及特点分析

DG2000系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人
2021-12-11 09:46:01983

任意波形发生器芯片AD9102的应用

任意波形发生器芯片AD9102的应用文章目录任意波形发生器芯片AD9102的应用前言一、任意波形合成器AD9102简介二、硬件设计1.AD9102最小系统2.时钟电路3.输出信号处理电路4.控制电路
2021-11-23 18:06:2636

基于USB的任意波形发生器

基于USB的任意波形发生器免费下载。
2021-05-25 15:52:0814

DG1000Z系列函数/任意波形发生器的特点

函数/任意波形发生器是一种产生标准函数信号,并可以产生任意波形的仪器。函数/任意波形发生器的选型需要考虑几个重要的参数,包括信号最高输出频率,采样率,幅度范围,准确度、信号质量、任意波长度等等。每项指标都与我们实际测试的需求及应用相关。
2021-05-21 15:05:30789

使用DAC和DMA的任意波形发生器

本应用笔记介绍了如何使用直接存储访问(Direct Memory Access,DMA)和 8 位缓冲数模转换(Digital-toAnalog Converter,DAC)来实现任意波形发生器
2021-03-30 11:22:3315

CN-0304:低功耗 DDS 波形发生器

CN-0304:低功耗 DDS 波形发生器
2021-03-18 21:14:199

AFG-2000/2100任意波形信号发生器的产品特点及应用优势

AFG-2100/2000系列任意波形信号发生器是一台以DDS技术为基础,涵盖正弦波、方波、三角波、噪声波以及20MSa/s采样率的任意波形。0.1Hz的分辨率和1%~99%的方波(脉冲波)可调占空比功能,极大的扩展了它的应用范围。
2021-01-06 09:36:34713

MFG-2220HM双通道任意波形信号发生器的性能特点及应用

MFG-2220HM双通道任意波形信号发生器,包括了CH1与CH2为两个最高频率为200MHz的等性能双通道AFG(任意波形信号发生器);脉冲信号发生器,频率可达25MHz;可以满足多种领域的教学与产业的应用。
2020-12-31 16:47:522864

DG5000系列函数/任意波形发生器的特点及应用优势

DG5000系列函数/任意波形发生器任意波形发生器、脉冲发生器、IQ基带源/中频源、跳频源、码型发生器、函数发生器6大功能于一身;采用DDS直接数字频率合成技术,可生成稳定、精确、纯净和低失真
2020-11-27 09:44:48521

DG900函数/任意波形发生器的功能及产品特点分析

DG900系列函数/任意波形发生器是一款集函数发生器任意波形 发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、 模拟/数字调制、频率计等功能于一身的多功能信号发生器。全 新的外观及人性化的界面设计,带来友好的用户体验。
2020-11-27 09:41:32599

DG800系列函数/任意波形发生器的功能作用及特点分析

DG800系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、 模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人性化的界面设计,带来友好的用户体验。
2020-11-27 09:35:151012

DG2000系列函数/任意波形发生器的功能特性及产品特点分析

DG2000系列函数/任意波形发生器是一款集函数发生器任意波形发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、 模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人性化的界面设计,带来友好的用户体验。
2020-11-26 09:57:47812

任意波形发生器的工作原理详细介绍

任意波形发生器的用途越来越广泛,因为它具备比较灵活的信号产生能力。
2020-11-23 10:29:004

DDS的基本原理 :相位累加、存储的波形表、高速DAC

的某种波形。 在测试测量领域有另一个概念 - AWG(任意波形发生器)是跟DDS紧密相关的,波形发生器未必一定采用DDS的方式,但任意波形的产生就离不开DDS了。 DDS可以生成任意波形 - 比如心状波形 DDS的基本原理如下面的框图,主要由以下几个部
2020-09-24 14:20:2411474

射频信号源和函数/任意波形发生器之间的区别分析

目前市场上见到的比较多的有函数发生器任意波形发生器,射频信号源,统称为信号源,是根据客户的需求不同选择不同的源。任意波形发生器是一款基于数字合成技术的信号发生器,他可以产生任意波形和函数波形任意
2020-07-23 15:15:026442

DG1000Z系列函数/任意波形发生器

函数/任意波形发生器是一种产生标准函数信号,并可以产生任意波形的仪器。函数/任意波形发生器的选型需要考虑几个重要的参数,包括信号最高输出频率,采样率,幅度范围,准确度、信号质量、任意波长度等等。每项
2020-05-27 16:28:511260

​DG5000函数/任意波形发生器

函数/任意波形发生器是一种产生标准函数信号,并可以产生任意波形的仪器。函数/任意波形发生器的选型需要考虑几个重要的参数,包括信号最高输出频率,采样率,幅度范围,准确度、信号质量、任意波长度等等。每项
2020-05-24 11:05:39470

DG800系列函数/任意波形发生器成都虹威科技

DG800系列函数/任意波形发生器是一款集函数发生器任意波形 发生器、噪声发生器、脉冲发生器、码型发生器、谐波发生器、 模拟/数字调制、频率计等功能于一身的多功能信号发生器。全新的外观及人
2020-05-20 08:58:57758

​成都虹威科技DG1000系列函数/任意波形发生器1uHz频率分辨率

指标都与我们实际测试的需求及应用相关。 DG1000系列函数/任意波形发生器采用直接数字频率合成(DDS)技术设计,能够产生精确、稳定、低失真的输出信号。该系列仪器具有双通道输出,可输出5种标准波形,内置48 种任意波形,满足
2020-05-15 11:13:23675

普源DG5352函数/任意波形发生器成都虹威科技

北京普源DG5352系列任意波形发生器、脉冲发生器DG5352现货供应 DG5352集任意波形发生器、脉冲发生器、IQ基带源/中频源、跳频源、码型发生器、函数发生器6大功能于一身;采用DDS直接数字
2020-05-15 10:10:12784

DG800系列函数/任意波形发生器16bit垂直分辨率成都虹威科技

函数/任意波形发生器是一种产生标准函数信号,并可以产生任意波形的仪器。函数/任意波形发生器的选型需要考虑几个重要的参数,包括信号最高输出频率,采样率,幅度范围,准确度、信号质量、任意波长度等等。每项
2020-05-14 14:46:31814

DG5000系列 函数/任意波形发生器

DG5000集任意波形发生器、脉冲发生器、IQ基带源/中频源、跳频源、码型发生器、函数发生器6大功能于一身;采用DDS直接数字频率合成技术,可生成稳定、精确、纯净和低失真的输出信号;人性化的界面设计
2020-04-22 10:03:44566

DG5000系列函数/任意波形发生器

DG5000是集任意波形发生器、脉冲发生器、IQ基带源/中频源、跳频源、码型发生器、函数发生器6大功能于一身的多功能信号发生器。该系列包括单、双通道型号,其中双通道型号是一款真正意义上的双通道信号
2020-04-12 10:02:10527

基于DDS任意波形/ 函数发生器之间的差别

任意波形/ 函数发生器(AFG)通过读取内存的内容,来同时创建函数波形任意波形。大多数现代AFG 采用直接信号合成(DDS)技术,在广泛的频率范围上提供信号。
2020-03-29 16:31:002171

高速任意波形发生器的原理及硬件设计方案

波形发生器的应用在生活中随处可见,其中使用较多的波形发生器任意波形发生器。为增进大家对波形发生器的理解,本文特带来高速任意波形发生器的设计实例。如果你对本文内容存在一定兴趣,不妨耐心往下阅读哦。 任意波形发生器是目前电子测量仪器中发展最为快速的产品之一。
2019-11-26 09:17:456308

关于DG1022Z函数/任意波形发生器的性能分析和介绍

DG1022Z函数/任意波形发生器在现有DG1000Z系列函数/任意波形发生器30MHz和60MHz带宽的基础上添加了25MHz带宽的型号,采用RIGOL独创的SiFi技术,逐点生成任意波形,不失
2019-10-09 11:28:436629

浅析任意波形发生器的工作原理

任意波形发生器的用途越来越广泛,因为它具备比较灵活的信号产生能力。
2019-03-14 14:05:396075

基于ROM的任意波形发生器DDS

、相位以及幅度的数控调制,广泛应用在电信与电子仪器和通信领域。波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。加入的信号有:正弦波、三角波、方波和任意波形等。 设计原理 : 相位 (phase) 是对于一个波,特定的时
2018-06-18 19:24:1116156

任意波形发生器_DDS任意波形发生器的设计

任意波形发生器既具有其他信号源的信号生成能力,又可以通过各种编辑手段产生任意波形采样数据,方便地合成其他信号源所不能生成的任意波形,从而满足测试和实验的要求。
2018-01-08 11:44:5315549

基于FPGA的DDS信号发生器设计方案解析

将虚拟仪器技术同FPGA技术结合,设计了一个频率可控的DDS任意波形信号发生器。在阐述直接数字频率合成技术的工作原理、电路构成的基础上,分别介绍了上位机虚拟仪器监控面板的功能和结构,以及实现DDS
2017-12-04 11:40:0933

SDRAM在任意波形发生器中的应用

任意波形发生器在雷达、通信领域中发挥着重要作用,但目前任意波形发生器大多使用静态存储。这使得在任意波形发生器工作频率不断提高的情况下,波形的存储深度很难做得很大,从而不能精确地表达复杂信号。本文
2017-12-02 05:14:14357

iBoard教程之(dds信号发生器)任意发生器硬件电路分析

电子发烧友网站提供《iBoard教程之(dds信号发生器)任意发生器硬件电路分析.pdf》资料免费下载
2017-10-29 09:25:0525

基于FPGA的任意波形发生器设计

波形发生器
2017-08-11 08:33:2512

DDS多波信号发生器实现

详细介绍了直接数字频率合成器(DDS)的工作原理、基本结构。在参考DDS 相关文献的基础上,提出了符合结构的DDS 设计方案,利用DDS 技术设计了一种高频率精度的多波形信号发生器,此设计基于可编程逻辑器件FPGA,采用Max+PlusⅡ开发平台,由Verilog_HDL 编程实现
2016-11-22 14:35:138

基于DDS任意信号发生器设计

基于DDS任意信号发生器设计
2016-11-15 17:36:0133

基于LabVIEW的任意波形发生器设计

基于LabVIEW的任意波形发生器设计。
2016-01-20 16:28:4982

基于vhdl的DDS设计简单的波形发生器

基于vhdl的DDS设计 简单的波形发生器 可以产生正弦波,方波,三角波,锯齿波
2016-01-12 17:55:0223

基于DDS的信号发生器设计

基于dds函数信号发生器,用单片机及dds实现正玄波,三角波,矩形波的产生
2016-01-11 14:55:2119

基于DDS的可编程的波形发生器

给予DDS可编程的波形发生器,对三个不同频段的波形信号进行分析
2015-11-02 17:22:443

RIGOL DG4000系列函数/任意波形发生器家族增添新成员

为满足市场对中高频段函数/任意波形发生器的需求,丰富深受广大用户喜爱的DG4000系列函数/任意波形发生器产品线,北京普源精电科技有限公司(以下简称:RIGOL)于2015年9月1日正式发布输出频率为200MHz的DG4202函数/任意波形发生器
2015-09-08 09:45:081921

信号发生器原理_DDS芯片及应用_DDS信号发生器设计

本专题汇集了四十种DDS信号发生器各部分资料,包括信号发生器原理,DDS芯片及应用,信号发生器电路图及DDS信号发生器设计,为你免除大量自行搜索的时间,让你深入了解DDS信号发生器
2015-06-23 10:41:36

一种DDS任意波形发生器的ROM优化方法

提出了一种改进的基于直接频率合成技术(DDS)的任意波形发生器在现场可编程门阵列(FPGA)上的实现方法。首先将三角波、正弦波、方波和升/降锯齿波的波形数据写入片外存储
2013-09-23 17:54:1961

基于FPGA的DDS波形信号发生器的设计

设计采用Altera公司CycloneII系列EP2C5Q208作为核心器件,采用直接数字频率合成技术实现了一个频率、相位可控的基本信号发生器。该信号发生器可以产生正弦波、方波、三角波和锯齿波四种波形。仿真及硬件验证的结果表明,该信号发生器精度高,抗干扰性好,此设计方案具有一定的实用性。
2013-01-22 14:45:33472

任意发生器的研究与设计

任意波形发生器设计中,DDS技术具有成本低、功耗小、分辨率高和切换时间快等优点,但波形形状任意可编辑性较差;软件无线电技术可产生任意复杂波形,但切换时间慢。采用DDS
2012-08-08 14:46:0550

基于TMS320F2812的任意波形发生器设计

摘要:为了能够方便地产生一些复杂具有特殊要求的、频率稳定的任意波形,本文提出了一种任意波形发生器的设计方法。完成了基于TI公司高性能DSP芯片-TMS320F2812和BB公司数模转换-DAC7724的任意波形发生器设计,设计中使用TMS320F2812的外部扩展接口代替通
2011-02-28 17:22:53409

AFG-3000系列任意波形信号发生器

  全新的任意波形信号发生器AFG-3000系列是GW Instek新一代的任意波信号源,它将先进信号发生器的技术引入了大众化的领域。   AFG-3000系列主要应用于工业、科学研究和
2010-09-08 08:48:25765

高速任意波形发生器的设计

 基于数字频率合成技术给出一种高速任意波形发生器的设计方案,详细介绍各个模块的硬件电路设计以及MCU部分的软件设计。该方案采用高速波形数据存储、高速D/A转换
2010-07-21 15:13:5355

力科推出ArbStudio系列任意波形发生器AWG

力科推出ArbStudio系列任意波形发生器AWG 力科公司日前发布了ArbStudio系列的任意波形发生器AWG,这种任意波形发生器可产生高采样率、长存储和高分
2010-05-15 12:18:16880

基于DDS技术的信号发生器研究与实现策略

基于DDS技术的信号发生器研究与实现策略  研究了一种基于DDS芯片AD9850和单片机AT89S52的信号发生器系统,能够产生正弦波、三角波和方波三种波形。该系统频率、幅值
2010-04-23 11:41:562088

基于USB2.0的任意波形发生器设计

基于USB2.0芯片CY7C68013,对以D/A为核心的任意波形发生器进行研究。实现了对任意波形数据的存储与回放。详细介绍了系统的总体结构、波形发生器硬件电路及其与CY7C68013的接口设计,
2010-03-02 15:57:4852

基于FPGA的任意波形发生器的设计

该系统以Altera的CycloneII系列芯片 EP2C70为控制芯片,以AD9744芯片作为数模转换。采用DDS技术来实现任意波形的产生,使用该方法输出波形的形状和长度均可灵活的调整,同时可由用户通
2010-02-24 14:57:37114

基于DDS波形发生器设计

基于DDS波形发生器设计 0 引 言    随着信息技术的发展及测试对象不断丰富,现代电子系统对波形发生器也提出了更高的要求。传统的模
2010-01-27 10:49:511539

发动机伺服系统任意波形发生器的设计与实现

文章介绍了为发动机伺服系统设计的任意波形发生器VXI模块部分电路的实现,详细说明了在一定时间段内实现波形的形状、波形的频率、波形的幅度可以根据用户的需求任意变化的
2009-07-15 11:24:3913

Agilent N6030A :业内最高性能的任意波形发生器

Agilent N6030A :业内最高性能的任意波形发生器 Agilent N6030A是一种宽带任意波形发生器(AWG),能够为雷达,卫星和对频率敏感的通信系统生成高
2009-02-26 10:23:15779

基于LabVIEW平台的任意波形发生器计算机控制

基于LabVIEW平台的任意波形发生器计算机控制 摘要:本文介绍了运用GPIB接口和虚拟仪器开发平台LabVIEW设计开发的AFG320任意波形发生器的计
2008-11-26 18:14:544103

33210A 10 MHz函数/任意波形发生器

33210A 10 MHz函数/任意波形发生器 安捷伦科技公司日前推出函数/任意波形发生器系列的新成员,该产品以经济的价格提供高质量的波形。对于需要较低频率
2008-09-05 10:59:21616

任意波形发生器的设计电路图

任意波形发生器 技术分类: 测试与测量   来源:电子产品世界/ 西北工业大学 航海
2008-03-20 19:23:376738

已全部加载完成