电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>用VHDL语言在CPLD上实现串行通信

用VHDL语言在CPLD上实现串行通信

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

基于CPLDVHDL的智能拨号报警系统的设计与实现

本文采用CPLD控制核心实现了智能报警系统。由于利用EDA技术进行系统设计,外围器件少、体积小、功耗低、可靠性高。通过修改VHDL源程序就可以增加一些新的功能,提高了设计的灵活性,具有较好的应用前景。
2013-02-20 14:34:214394

VHDL语言在EDA仿真中的应用

EDA技术彻底改变了数字系统的设计方法和实现手段,借助于硬件描述语言的国际标准VHDL 和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大
2011-04-11 11:34:471842

CPLD通信数据传输中的应用是什么?

CPLD程序如何去实现CPLD通信数据传输中的应用是什么?
2021-05-25 06:53:01

CPLD系统设计及VHDL语言的视频教程

各位好,请问哪里有免费下载的 CPLD系统设计及VHDL语言的视频教程?是天祥的。淘宝里有好多卖的,可是要淘宝帐户和钱呀?
2008-07-20 10:29:10

VHDL怎么实现减法运算?

请教大家怎么VHDL语言实现减法运算?FPGA设计时又该怎么操作呢?
2012-05-17 20:07:12

cpld 12864

请教大神~~~有谁vhdl语言写过基于cpld不带字库的12864显示字幕流动的程序吗???急求
2014-05-21 16:20:22

cpld与8051的总线接口vhdl设计源码

cpld与8051的总线接口vhdl设计源码cpld 与8051的总线接口VHDL源码关于cpldbus51.VHD的说明: 很久之前我也想在网上找一份cpld与8051的总线方式接口的VHD源码
2012-08-10 18:56:47

cpld与flash配置fpga

vhdl实现cpld配置fpga,配置成功后usermode下设置一个重新配置信号,当信号有效时对fpga进行重新配置;fpga配置程序放在flash内;现在遇到的问题是,cpld能够正常配置fpga并且进入usermode ,但是加上重新配置语句过后就不能成功配置fpga,求高人指点~
2013-01-17 22:35:39

vhdl是什么

超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构
2015-09-30 13:48:29

QuartusⅡ开发环境下怎么VHDL语言设计可变模功能的计数器?

QuartusⅡ开发环境下,VHDL语言设计了一种具有清零、置数、使能控制、可逆计数和可变模功能的计数器。
2021-04-30 06:44:46

VHDL语言对FPGA 和CPLD器件进行开发时需要注意什么?

VHDL 语言设计电路是利用硬件描述的方法,将系统功能通过目标器件表现出来,而目标器件的资源占用率是设计成功与否的关键。
2019-10-28 07:31:04

FPGA/CPLD设计UART

),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用VHDL将UART的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。本文应用EDA技术,基于FPGA/CPLD器件设计与实现UART。
2012-05-23 19:37:24

ALTERA FPGA/CPLD高配学习指南:入门和高级篇,教程十讲全集

大家熟悉CPLD/FPGA 开发中一个关键的技术——状态机,并且简单介绍了一下RTL 视图的使用。第三讲:加/减计数器例程,讲解了计数器的VHDL 语言的设计过程,以及硬件下载的方法,并且可以通过
2020-05-14 14:50:30

FPGA毕业论文选题大全

)设计交通灯控制电路  基于VHDL建模实现FSK的调制与解调  数字锁相环法位同步信号  VHDL实现抢答器设计  基于单片机和CPLD接收GPS信号的显示系统  基于单片机和CPLD实现的GPS
2012-02-10 10:40:31

IIC总线通讯接口器件的CPLD实现

IIC总线通讯接口器件的CPLD实现摘要:介绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。 [/hide]
2009-10-30 14:57:35

[下载]天祥 十天学会CPLD FPGA VHDL视频教程(3.12G完整版)

,让大家熟悉CPLD/FPGA开发中一个关键的技术——状态机,并且简单介绍了一下RTL视图的使用。 第三讲:加/减计数器例程,讲解了计数器的VHDL语言的设计过程,以及硬件下载的方法
2009-03-26 16:38:29

分享一款不错的基于VHDL的异步串行通信电路设计

求一款基于VHDL的异步串行通信电路设计分享
2021-04-08 06:16:42

基于CPLD和FPGA的VHDL语言电路优化设计

,一般情况下,速度指标是首要的,满足速度要求的前提下,尽可能实现面积优化。因此,本文结合在设计超声探伤数据采集卡过程中的CPLD编程经验,提出串行设计、防止不必要锁存器的产生、使用状态机简化电路描述、资源共享,利用E2PROM芯片节省片内资源等方法对VHDL电路进行优化。
2019-06-18 07:45:03

基于CPLD的4*4矩阵键盘设计

基于CPLD的4*4矩阵键盘电路设计,的是VHDL语言
2012-11-24 19:47:18

基于FPGA的图像边缘检测系统设计,VHDL语言实现该怎么做?

不知道有没有大神做过:基于FPGA的图像边缘检测系统设计,VHDL语言实现
2018-05-10 00:22:07

天祥 十天学会CPLD FPGA VHDL视频教程(3.12G完整版)

自从天祥电子推出40小时的“十天学会单片机和C语言编程”视频教程后,受到了广大电子爱好者的好评,并希望我们能够赶紧推出“CPLD器件及VHDL程序设计”的视频教程,为了能够满足大家的要求,提供更多
2009-02-07 11:34:24

天祥十天学会CPLD/FPGA 系统设计全集

的仿真,RTL 视图查看,管脚的分配,硬件的配置等。整个过程全部VHDL 语言讲解,从VHDL 语言库的调用,实体的描述,结构体的描述,进程和函数的应用。一步一步的讲解设计过程中的每个语句和注意事项
2012-09-29 21:32:44

如何实现单片机与CPLD通讯呢?

单片机为P89V51RD2,CPLD为ATF1508AS,现在要实现单片机与CPLD的通讯,如何实现?希望能讲清原理和VHDL语言实现,谢谢
2023-04-23 14:22:38

如何利用VHDL语言实现FPGA与单片机的串口异步通信电路?

本文介绍利用VHDL语言实现 FPGA与单片机的串口异步通信电路。
2021-04-29 06:34:57

如何用VHDL语言实现帧同步的设计?

帧同步是什么工作原理?如何用VHDL语言实现帧同步的设计?
2021-04-08 06:33:59

如何用VHDL语言实现该电路图逻辑关系

关于QuartusⅡ软件实现编程调试,VHDL语言描述该逻辑关系。多次尝试编写,并不能准确描述逻辑关系,以及进行编程调试,对于vhdl语言不能准确应用,想请教一下结构体的相关逻辑语言
2022-05-04 12:21:32

如何用VHDL设计专用串行通信芯片?

如何用VHDL设计专用串行通信芯片?本文以开发统计时分复用器中的专用同步收发芯片为例,介绍整个芯片的开发流程。
2021-05-08 07:22:30

寻找VHDL语言编写的UDP IP堆栈

各位大家好,我正在寻找VHDL语言编写的UDP / IP堆栈(我必须在Spartan-6 FPGA中实现它)。我正在寻找一个尽可能简单的功能:使用VHDL语言编写,而不是Verilog -
2019-08-06 02:37:22

应用VHDL语言的FFT算法实现

应用VHDL语言的FFT算法实现
2012-08-20 20:17:57

怎么实现基于CPLD和MT8880的远程控制及播音系统设计?

介绍了一种基于CPLD和MT8880的远程控制及语音通信的解决方案。给出了系统的原理框图和关键电路, 并对关键电路的工作原理进行了说明; 最后给出了系统主机控制器中关键模块的QUARTUS II设计图及基于VHDL语言的MT8880收发程序源代码。[/td]
2021-05-26 07:01:53

怎么实现基于CPLD的异步串行通讯控制器的设计?

本文在对异步串行通信协议进行分析的基础,根据实际工程的需要,对异步串行通信控制器进行了详细设计,并结合CPLD器件,采用VHDL语言,对设计方案进行了实现和验证,通过最后时序仿真的波形图得出
2021-05-28 06:53:11

怎么VHDL语言CPLD实现串行通信

串行通信发送器是什么工作原理?怎么VHDL语言CPLD实现串行通信
2021-04-13 06:26:46

怎么利用CPLD/FPGA的VHDL语言优化电路?

(PLD)的发展而发展起来的。它是一种面向设计、多层次的硬件描述语言,是集行为描述、RTL描述、门级描述功能为一体的语言,并已成为描述、验证和设计数字系统中最重要的标准语言之一。由于VHDL语法和风格类似于高级编程语言,可读性好,描述能力强,设计方法灵活,可移植性强,因此它已成为广大EDA工程师的首选。
2019-08-28 08:05:46

怎么利用CPLD器件及VDHL语言实现电梯控制系统?

如何使用CPLD器件,采用VHDL语言设计一个16 楼层单个载客箱的电梯控制系统,此控制系统具有使用安全可靠,功能全面的特点,方便人们生活。
2021-04-29 07:07:05

怎么设计优化VHDL语言电路?

语法和风格类似于高级编程语言,可读性好,描述能力强,设计方法灵活,可移植性强,因此它已成为广大EDA工程师的首选。目前,使用VHDL语言进行CPLD/FPGA设计开发,Altera和Lattice
2019-08-08 07:08:00

模拟IC设计与使用VHDL语言设计IC的区别

感觉模拟IC设计就应该是设计模拟电路.设计运放等,通过设计电路、硅片搭建TTL.CMOS......从而做成IC芯片;而我经常看到说IC设计就是使用VHDL语言设计IC,写好VHDL语言后烧录到FPGA.CPLD.......从而做成芯片。我想问的是这两者有什么区别?
2018-08-29 09:45:43

请问怎样去设计VHDL-CPLD接口?

基于CPLD的状态机该怎样去设计?如何去描述VHDL语言
2021-04-28 07:01:10

vhdl语言ppt

VHDL的定义和功能VHDL的发展概况程序编程语言和硬件描述语言的对比引入硬件描述语言对系统进
2008-09-03 12:58:4139

VHDL语言及其应用 pdf

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-02-12 09:41:38172

A CPLD VHDL Introduction

A CPLD VHDL Introduction This introduction covers the fundamentals of VHDL as applied to Complex
2009-03-28 16:14:3725

基于CPLD 的步进电机控制介绍

叙述基于CPLD 的步进电机的控制,采用VHDL 语言实现其控制,并在MAXPLUS2 下实现理想的仿真效果。该控制采用CPLD 作为核心器件,减少分立元件使用,在实时性和灵活性等性能上都有
2009-04-02 17:14:1936

PC机与CPLD通信问题的研究

根据PC 机作为上位机和下位机的CPLD 串行通信的特点,简介上位机VB 程序的编写;详述在EDA 软件MAXPLUSII 的环境下,利用AHDL 语言,编写下位机程序。此设计具有波特率高、传输准确
2009-04-15 08:40:1417

循环冗余校验码的单片机及CPLD 实现

循环冗余码校验(CRC)是一种可靠性很高的串行数据校验方法。介绍循环冗余码校验的基本原理,并分别用单片机和CPLD 作了循环冗余码校验的软件实现和硬件实现。包括汇编语言VHDL
2009-04-16 14:19:4416

I2C总线通信接口的CPLD实现

介绍采用ALTERA 公司的可编程器件,实现I2C 总线的通信接口的基本原理; 给出部分VHDL语言描述。该通信接口与专用的接口芯片相比, 具有使用灵活, 系统配置方便的特点。
2009-05-14 13:16:0026

PC 机与CPLD 通信问题的研究

根据PC 机作为上位机和下位机的CPLD 串行通信的特点,简介上位机VB 程序的编写;详述在EDA 软件MAXPLUSII 的环境下,利用AHDL 语言,编写下位机程序。此设计具有波特率高、传输准确
2009-05-14 14:15:2722

基于PC/104 总线与CPLD 的SPI 接口设计

本文根据SPI 同步串行接口的通信协议,介绍了在CPLD 中利用VHDL 语言实现PC/104 总线扩展SPI 接口的设计原理和编程思想。通过该方法的介绍,使得那些没有SPI 接口功能的
2009-05-30 09:28:1841

VHDL语言及其应用

VHDL语言及其应用是在作者历时七年为通信与信息系统、信号与信息处理专业研究生讲授VHDL语言及其应用课程的教学实践基础上编写而成的。全书共分15章,以教授完整的VHDL语言
2009-07-10 17:21:4418

VHDL语言及其应用

VHDL语言及其应用的主要内容:第一章 硬件模型概述第二章 基本的VHDL编程语言第三章 VHDL模型的组织第四章 VHDL综合工具第五章 VHDL应用样例附录A VHDL
2009-07-20 12:06:150

VHDL语言CPLD/ FPGA上实现浮点运算

 介绍了用VHDL 语言在硬件芯片上实现浮点加/ 减法、浮点乘法运算的方法,并以Altera 公司的FLEX10K系列产品为硬件平台,以Maxplus II 为软件工具,实现了6 点实序列浮点加/ 减法
2009-07-28 14:06:1385

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言的特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言( 硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

CPLD实现DSP与背板VME总线之间的连接

介绍了采用CPLD 实现DSP 芯片TMS320C6713 和背板VME 总线之间高速数据传输的系统设计方法。设计中采用VHDL 语言CPLD 进行编程。同时由于CPLD 的现场可编程特性,增强了整个系统
2009-08-15 08:39:2351

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

基于CPLD的多通道数据采集系统设计

设计了以CPLD 为核心处理芯片的多路数据采集系统,按照正确的时序直接控制AD676和双端口RAM的工作, 所有这些功能都采用VHDL语言进行描述。关键词:CPLD, AD676, VHDL语言, 双端口R
2009-09-01 10:26:4125

基于CPLD的某BIT系统研制

介绍了基于CPLD 和EDA 技术的BIT(机内测试)系统的实现。本系统以CPLD 为控制核心,在MAX+PLUSII 环境下采用VHDL 语言实现了系统接口及测频电路。该系统具有集成度高、灵活性强、易于开
2009-09-03 09:30:519

基于CPLD的Flash读取控制的设计与实现

        在使用Flash 存储数据时,有时需要对其设计读写控制逻辑。本文介绍了用VHDL 语言CPLD内部编程,实现对Flash 中数据的读取控制的具体方法,并给出
2009-09-04 09:29:3635

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

基于单片机的CPLD/FPGA被动串行下载配置的实现

基于单片机的CPLD/FPGA被动串行下载配置的实现:介绍采用AT89S2051单片机配合串行E2PROM存储器,实现CPLD/FPGA器件的被动串行模式的下载配置,阐述了其原理及软硬件设计。  &nb
2009-10-29 21:57:2219

基于CPLD的频谱电平显示电路设计与实现

本文基于VHDL硬件描述语言,利用CPLD器件EPM570T100C5和LED点阵屏实现了对音频信号的频谱显示,给出了设计过程、VHDL语言源程序和实验结果,拓展了CPLD在显示领域的应用。
2010-02-24 14:46:4528

异步串行通信接口电路的VHDL语言设计

摘要:提出了1种基于CPLD、EPLD或FPGA等可编程逻辑器件的异步串行通信接口电路的设计方法。该方法简单快捷。易于实现。而且可以作为异步串行通信接口的标准模块插入到用户的各
2010-04-30 09:58:4146

基于CPLD的频谱电平显示电路设计与实现

本文基于VHDL硬件描述语言,利用CPLD器件EPM570T100C5和LED点阵屏实现了对音频信号的频谱显示,给出了设计过程、VHDL语言源程序和实验结果,拓展了CPLD在显示领域的应用。
2010-07-17 18:07:4025

基于CPLD的电梯控制器的设计

探讨电梯控制技术的发展历史和技术现状,仔细研究CPLD器件的工作原理,开发流程以及VHDL语言的编程方法;采用单片CPLD器件,在MAX+plusⅡ软件环境下,运用VHDL语言设计一个16楼层单
2010-12-27 15:27:3556

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器
2009-03-13 19:26:582368

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

CPLD与TMS320VC55x的McBSP接口设计?

【摘 要】 根据McBSP和CPLD的时序分析,采用VHDL语言设计了CPLD模拟McBSP并与之进行全双工通信,给出了实际的接口时序结果。   
2009-05-14 20:40:021131

VHDL设计专用串行通信芯片

VHDL设计专用串行通信芯片 一种专用串行同步通信芯片(该芯片内部结构和操作方式以INS8250为参考)的VHDL设计及CPLD实现,着重介绍了用VHDLCPLD设计专用通信芯片的
2009-10-12 19:07:481701

采用CPLD/FPGA的VHDL语言电路优化原理设计

采用CPLD/FPGA的VHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

基于CPLDVHDL语言数字钟(含秒表)设计

利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过
2011-09-27 15:08:56366

基于VHDL的DRAM控制器设计

本文提出一种新颖的解决方案:利用80C186XL的时序特征,采用CPLD技术,并使用VHDL语言设计实现DRAM控制器。
2012-02-02 11:29:581185

基于CPLD/FPGA的VHDL语言电路优化设计

VHDL(Very High Speed Integrated CIRCUITHARDWARE DESCRIPTION Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。
2012-03-02 09:16:053822

altera FPGA/CPLD高级篇(VHDL源代码)

altera FPGA/CPLD高级篇(VHDL源代码)
2012-11-13 14:40:38134

基于CPLDVHDL语言数字钟(含秒表)设计

基于CPLDVHDL语言数字钟(含秒表)设计
2015-11-04 15:14:369

FM收音机的解码及控制器VHDL语言实现

Xilinx FPGA工程例子源码:FM收音机的解码及控制器VHDL语言实现
2016-06-07 14:13:4311

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

VHDL设计专用串行通信芯片

VHDL设计专用串行通信芯片,下来看看。
2016-12-20 18:02:5010

CPLD中用UART逻辑实现高速异步串行通信

CPLD中用UART逻辑实现高速异步串行通信
2017-01-24 16:54:2412

VHDL硬件描述语言的学习

在小规模数字集成电路就要淘汰的今天,作为一个电类专业的毕业生应该熟悉VHDL语言CPLD、FPGA器件的设计,阎石教授新编写的教材也加入了VHDL语言方面的内容,可见使用VHDL语言将数字系统集成
2017-12-05 09:00:3120

基于VHDL语言CPLD器件实现频谱电平动态显示电路的设计

LED点阵显示屏具有醒目、动态效应好、省电节能、亮度较高、用途广等优点,是现代 化城市的主要标志之一。利用VHDL硬件描述语言设计了以CPLD器件为核心的控制电路, 在LED点阵屏上实现了音频信号的频谱型电平动态显示, 而且具有显示模式多样化、易编程 修改,颜色可变、动态效果好等优点。
2019-04-26 08:08:001933

PC机的CPLD串行通信的特点与程序的编写

语言,应用这的通信控件编写上位机的通信程序十分方便,过程简单。本文针对CPLD和PC通信的特点,各编写了上位机和下位机的程序,进行相对高速的串行通信
2018-11-14 10:15:003521

VHDL教程之VHDL语言元素的详细资料概述

本文档的主要内容详细介绍的是VHDL教程之VHDL语言元素的详细资料概述一内容包括了:1. VHDL语言的客体2 VHDL语言的数据类型3 VHDL数据类型转换4 VHDL词法规则与标识符
2018-11-05 08:00:000

如何使用FPGA CPLDVHDL语言设计一个交通灯控制系统

VHDL语言设计交通灯控制系统,并在MAX+PLUS II系统对FPGA/CPLD芯片进行下载,由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高,而且体积小。体现了EDA技术在数字电路设计中的优越性。
2018-11-05 17:36:0523

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。
2020-04-23 15:51:032362

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

使用CPLD器件和VHDL语言实现USB收发模块的硬件功能设计

,Universal Serial Bus)接口既是一种快速、双向、廉价、可以进行热插拔的串行接口技术,也是一种体系完备的通信协议,已逐渐成为计算机的主流接口。USB接口的收发模块用于进行数据编码和与外部相连。本文主要介绍使用CPLD实现通用串行总线(USB)接口收发模块。
2020-07-31 17:10:461269

单片机与FPGA异步串行通信实现方法

介绍了单片机与FPGA 异步串行通信实现方法,给出了系统结构原理框图及其部分VHDL 程序,并定义了发送器和接收器的端口信号。
2020-09-29 16:20:008

FPGA与单片机实现串行通信的资料详细说明

介绍了单片机与FPGA 异步串行通信实现方法,给出了系统结构原理框图及其部分VHDL 程序,并定义了发送器和接收器的端口信号。
2021-03-02 13:52:0015

FPGA和单片机的串行通信接口设计

本文介绍利用VHDL语言实现FPGA与单片机的串口异步通信电路。
2023-08-03 15:45:37790

VHDL语言创建一个8位算术逻辑单元(ALU)

在这个项目中,我们用 VHDL 语言创建一个 8 位算术逻辑单元 (ALU),并在连接到带有输入开关和 LED 显示屏的定制 PCB 的 Altera CPLD 开发板上运行。
2023-10-24 17:05:57675

基于VHDL语言实现远程防盗报警设计

电子发烧友网站提供《基于VHDL语言实现远程防盗报警设计.pdf》资料免费下载
2023-11-08 14:33:110

已全部加载完成