电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>测量仪表>设计测试>采用Verilog的数字跑表设计

采用Verilog的数字跑表设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Verilog HDL 数字系统设计

Verilog HDL 数字系统设计
2012-08-17 21:10:51

Verilog HDL 高级数字设计源码

Verilog HDL 高级数字设计源码
2018-02-02 09:44:52

Verilog HDL高级数字设计2

本帖最后由 lee_st 于 2017-11-30 12:35 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:33:55

Verilog HDL高级数字设计3

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:36:07

Verilog HDL高级数字设计4

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:38:44

Verilog HDL高级数字设计5

本帖最后由 lee_st 于 2017-11-30 12:42 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:41:35

Verilog HDL高级数字设计6

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:44:09

Verilog HDL高级数字设计7

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:46:17

Verilog HDL高级数字设计8

讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:48:48

Verilog数字VLSI设计教程

Verilog数字VLSI设计教程
2017-09-26 14:11:58

Verilog数字系统的设计资料分享

Verilog数字系统设计六时序逻辑实验2文章目录Verilog数字系统设计六前言一、可控的移位寄存器是什么?二、编程1.要求:2.设计思路:3.实现代码:4.仿真测试:总结前言随着人工智能
2022-01-25 08:30:30

Verilog数字系统设计教程

Verilog数字系统设计教程
2018-07-16 12:29:20

Verilog数字系统设计教程(第3版)

Verilog数字系统设计教程》本书讲述利用硬件描述语言(Verilog HDL)设计复杂数字系统的方法。这种方法源自 20 世纪90年代的美国,取得成效后迅速在其他先进工业国得到推广和普及。利用
2022-03-21 13:46:22

Verilog数字系统设计资料分享

Verilog数字系统设计十二复杂数字电路设计2文章目录Verilog数字系统设计十二前言一、什么是FIFO控制器?二、编程1.要求:2.设计思路:3.FIFO控制器实现:总结前言随着人工智能
2022-02-09 07:23:50

数字IC设计入门(6)初识verilog 精选资料推荐

、韩国、美国等区域应用很普遍。本文简要地介绍国内数字电路设计普遍使用的Verilog语言。verilog是什么。Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言
2021-07-26 06:39:56

数字信号处理fft的verilog应用程序

在学习了数字信号处理之后,在底部使用verilog实现了FFT的应用
2023-09-28 06:49:55

数字电路设计与Verilog HDL

数字电路设计与Verilog HDL
2015-07-16 16:21:19

数字系统设计(verilog实现)第二版

很好的verilog学习资料,并结合数字电路讲述。
2013-05-07 22:00:52

数字系统设计:Verilog HDL实现

数字系统设计:Verilog HDL实现
2015-07-16 16:19:59

DCT实现Verilog HDL的数字图像处理源代码

DCT实现Verilog HDL的数字图像处理源代码
2012-08-11 09:30:53

Verilog HDL数字系统设计教程》(第四版)学习笔记 part1 Verilog数字设计基础——第一章知识点总结

博主最近刚开始学习FPGA设计,选用的开发语言是目前比较流行的Verilog,教材选用的是北京航空航天大学出版的《夏宇闻Verilog HDL数字系统设计教程》(第四版),这本书也是比较经典的一本
2022-03-22 10:26:00

【新手求助[抱拳]】|用数码管做跑表

数码管前三位显示一个000-999每隔1%s走一次的跑表,键盘按下跑表停止,松开跑表继续走。我的代码如下:编译的时候总是显示switch循环第一个case那里语句无效,而且等号附近出现语法错误,但是
2017-08-26 16:08:43

全面内容的数字跑表(含各个分频模块)

数字跑表1.实验要求:l设计一个数字跑表,该跑表具有复位、暂停、秒表计时等功能。l跑表设三个输入端,分别为时钟输入(CLK)、复位(CLR)和启动/暂停(PAUSE)按键。复位信号高电平有效,可对
2012-06-03 15:10:28

基于51单片机的时钟-跑表设计方案(程序+仿真)

基于51单片机的时钟-跑表设计方案(程序+仿真)
2018-11-29 12:07:49

基于51的简易时钟+跑表+直流电压表

次按键调整不出现数字跳变情形(防抖)。 后台走时:切换至其他功能,仍能后台正确走时。2、 跑表显示格式为000.0,计时单位为0.1秒,范围为0.1秒~999.9秒后台计时:计时中的跑表,切换至其他
2017-07-05 22:00:16

基于verilog hdl的数字系统应用该如何去设计?

什么是数字系统?verilog hdl又是什么?基于verilog hdl的数字系统应用该如何去设计?
2021-06-21 06:54:02

基于verilog数字时钟程序

基于verilog数字时钟程序,时钟芯片基础——60进制计数器设计目的让nexys 4板上的7段码LED显示时钟信息,其中包括时钟的小时,分钟,秒钟部分,且能够实现时钟的停止计时信号,手动调整小时
2021-07-22 07:37:01

夏宇闻 Verilog 数字系统设计教程

夏宇闻 Verilog 数字系统设计教程
2012-08-20 16:36:31

如何采用Verilog语言创建1-Wire主机?

如何采用Verilog语言创建1-Wire主机?
2021-04-29 06:42:19

如何采用SoPC实现数字示波器的设计?

本文介绍了一种基于SoPC的数字示波器设计,在设计过程中采用了FPGA芯片、嵌入式NiosⅡ处理器以及Verilog HDL语言,简化了电路的设计,提高了灵活性,缩短了设计周期。
2021-05-11 06:07:16

如何去实现一种基于单片机的电子跑表系统设计

/*-----------------------------------------------名称:电子跑表系统编写:E2.0日期:19/6/11内容:基于单片机试验板设计一个电子跑表系统,用
2022-01-12 06:05:17

如何编写数字跑表

本节通过Verilog HDL语言编写一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以内精确至百分之一秒的计时。
2019-09-29 09:18:55

已结束-【盖楼送书NO.10】Verilog HDL与FPGA数字系统设计 第2版

随着数字技术的高速发展,人们已经不再采用各种功能固定的通用中、小规模集成电路和电路图输入方法设计数字系统,而是广泛地采用硬件描述语言对数字电路的行为进行建模,并使用电子设计自动化
2022-04-19 14:40:04

数码管前三位显示一个跑表

题目是这样的。数码管前三位显示一个跑表,从000到999之间以1%秒速度运行,当按下个独立键盘时跑表停止,按下第二个时计时开始,按下第三个时计数值清零从头开始。本人编写的程序如下
2013-08-08 00:07:23

求助……要求:利用89C51的计数器实现数字跑表功能,通过6个LED数码管显示计时

求助……要求:利用89C51的计数器实现数字跑表功能,通过6个LED数码管显示计时的时间,最大计时时间为59’59”99,计时分辨率0.01秒。控制功能:利用开关S27控制数字跑表的工作方式(S27
2017-07-02 19:01:07

Verilog设计数字温度计?

1.eda 用Verilog设计数字温度计2.子模块设计3.整机系统设计4.仿真验证5.在实验装置上进行硬件测试6.设计报告
2022-06-17 13:52:10

电子跑表

stc89c52电子跑表题目九:电子跑表的设计功能:1、做时钟时在6位LED 显示器上显示分、秒;2、做跑表时显示范围10000.0秒~10999.9秒;3、当按下启动按钮跑表开始计时,按下停止按钮停止计时,当按下复位按钮跑表回零。按键控制秒表部分写不出,跪求程序,谢谢啦!
2016-12-23 10:36:52

秒表——跑表verilog

FPGA秒表——跑表verilog
2013-06-11 14:10:12

跪求51 DS1302 18B20 1602带跑表功能的数字钟(程序+图)

跪求用51单片机带DS1302 DS18B20 LCD1602带跑表功能的数字钟(带图和程序),本人十分感激!有的话麻烦发到我邮箱1311566283@qq.com,再次感谢!
2012-05-09 12:12:47

Verilog数字系统设计(2007年新版)

This book is on the IEEE Standard Hardware Description Languagebased on the Verilog® Hardware
2009-07-23 09:01:320

基于Verilog HDL语言的FPGA设计

采用 Verilog HDL 语言在Altera 公司的FPGA 芯片上实现了RISC_CPU 的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog HDL语言的优越性.关键词
2009-08-21 10:50:0569

复杂数字逻辑系统的Verilog

复杂数字逻辑系统的Verilog
2010-11-01 17:03:590

6位跑表电路图

6位跑表电路图
2009-01-13 19:48:561243

什么是Verilog HDL?

什么是Verilog HDL? Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统
2009-01-18 14:53:263677

计算器跑表变换器电路图

计算器跑表变换器电路图
2009-03-25 09:02:46935

积算器跑表变换器电路图1

积算器跑表变换器电路图1
2009-05-23 13:57:01523

Verilog HDL程序基本结构与程序入门

Verilog HDL程序基本结构与程序入门 Verilog HDL程序基本结构  Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的
2010-02-08 11:43:302185

数字跑表原理

数字跑表原理 实例的内容及目标 1.实例的主要内容本节通过Verilog HDL语言编写一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以
2010-02-09 09:15:353510

Verilog数字系统设计

本教程讲解了如何利用VERILOG硬件描述语言来设计和验证一个复杂的数字系统的方法。下面就复杂数字系统的概念、用途和几个有关的基本问题做一些说明
2011-05-09 17:01:370

应用Verilog HDL进行数字系统设计实例

本内容介绍了应用Verilog HDL进行数字系统设计实例
2011-09-27 16:30:2987

Verilog HDL数字设计与综合(第二版)

电子发烧友网站提供《Verilog HDL数字设计与综合(第二版).txt》资料免费下载
2012-04-04 15:57:240

Verilog HDL_数字设计与综合第二版

电子发烧友网站提供《Verilog HDL_数字设计与综合第二版.txt》资料免费下载
2012-08-07 15:17:150

Verilog_HDL的基本语法详解(夏宇闻版)

Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结
2012-10-08 14:48:310

Verilog数字系统设计教程(第二版) 夏宇闻

电子发烧友网站提供《Verilog数字系统设计教程(第二版) 夏宇闻.txt》资料免费下载
2012-10-31 15:57:580

Verilog HDL 数字设计教程(贺敬凯)

Verilog HDL 数字设计教程【作者:贺敬凯;出版社:西安电子科技大学出版社】(本资料为ppt) 内容简介:介绍了Verilog HDL语言,状态机设计,仿真,还有好几个可综合设计的举例,除了
2012-11-28 13:43:11489

Verilog_HDL数字设计与综合_夏宇闻译(第二版)

电子发烧友网站提供《Verilog_HDL数字设计与综合_夏宇闻译(第二版).txt》资料免费下载
2013-01-23 12:45:010

夏宇闻译(第二版)-Verilog HDL数字设计与综合

电子发烧友网站提供《夏宇闻译(第二版)-Verilog HDL数字设计与综合.txt》资料免费下载
2014-09-17 00:08:460

详解Verilog HDL数字设计与综合 夏宇闻译(第二版)

电子发烧友网站提供《详解Verilog HDL数字设计与综合 夏宇闻译(第二版).txt》资料免费下载
2014-09-25 22:19:090

数字逻辑基础与Verilog设计(原书第2版)

电子发烧友网站提供《数字逻辑基础与Verilog设计(原书第2版).txt》资料免费下载
2014-10-22 15:30:310

Verilog HDL数字设计与综合_夏宇闻译(第二版)

电子发烧友网站提供《Verilog HDL数字设计与综合_夏宇闻译(第二版).txt》资料免费下载
2015-09-08 17:43:220

基于FPGA数字跑表的设计

基于FPGA数字跑表的设计 VHDL语言
2015-10-30 10:39:2725

复杂数字逻辑系统的 Verilog HDL 设计方法简介

verilog verilog verilog verilog verilog verilog verilog verilog
2015-11-12 14:43:510

数字系统设计:VERILOG实现

数字系统设计:VERILOG实现 (第2版)
2015-11-30 10:21:330

基于verilog语言的数字频率计设计

基于verilog语言的数字频率计设计基于verilog语言的数字频率计设计基于verilog语言的数字频率计设计基于verilog语言的数字频率计设计
2015-12-08 15:57:230

Verilog HDL数字设计与综合课件(第二版)

介绍Verilog HDL数字设计与综合的课件
2015-12-23 10:58:540

数字电路中的FPGA和verilog教程

数字电路中的FPGA和verilog教程,好东西,喜欢的朋友可以下载来学习。
2016-01-18 17:44:3042

Verilog数字系统设计教程(第2版).part3

Verilog数字系统设计教程(第2版),分享给在或者需要学习verilog的伙伴们。
2016-05-11 16:40:550

Verilog数字系统设计教程(第2版).part2

Verilog数字系统设计教程(第2版),分享给在或者需要学习verilog的伙伴们。
2016-05-11 16:40:550

Verilog数字系统设计教程(第2版).part1

Verilog数字系统设计教程(第2版),分享给在或者需要学习verilog的伙伴们。
2016-05-11 16:40:550

华清远见FPGA代码-数字跑表

FPGA学习资料教程——华清远见FPGA代码-数字跑表
2016-10-27 18:07:5410

Verilog HDL数字设计与综合 夏宇闻译(第二版)

Verilog HDL数字设计与综合 夏宇闻译(第二版),感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 11:20:110

数字跑表说明书

自行设计的纯基本数字逻辑跑表
2017-05-17 08:43:1915

EDA技术及其应用之数字跑表pdf下载

EDA技术及其应用——数字跑表毕业设计论文
2018-01-22 16:18:4131

verilog是什么_verilog的用途和特征是什么

本文首先介绍了verilog的概念和发展历史,其次介绍了verilog的特征与Verilog的逻辑门级描述,最后介绍了Verilog晶体管级描述与verilog的用途。
2018-05-14 14:22:4443434

Verilog数字系统设计示例说明

此文件时间的Verilog数字系统设计的一些案例和教程,适合初学者学习和参考。
2018-06-25 08:00:009

Verilog HDL入门教程之Verilog HDL数字系统设计教程

本文档的主要内容详细介绍的是Verilog HDL入门教程之Verilog HDL数字系统设计教程。
2018-09-20 15:51:2680

数字跑表设计的资料合集包括原理图和PCB及论文的资料合集免费下载

1. 工作原理 1.利用555计时器构成能产生特定脉冲的多谢振荡器,产生100Hz的脉冲信号,满足数字跑表的脉冲需求;2.用多功能计数器产生一百进制和六十进制,实现数字跑表的计数功能;3.利用各种门电路的组合,实现数字跑表的启动、暂停和清零;4.利用译码器和数码管实现译码及显示功能。
2018-11-16 08:00:0017

Verilog语法基础

Verilog HDL是一种用于数字系统设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型也称为模块。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。
2019-03-08 14:29:1212093

数字跑表的设计资料合集免费下载

本文档的主要内容详细介绍的是数字跑表的设计资料合集免费下载,由8个74LS162芯片和8个LED四端显示数码管组成,用555定时器组成单稳态触发器提供100Hz的脉冲。其中四片芯片构成两个60进制
2019-05-23 08:00:005

FPGA之硬件语法篇:用Verilog代码仿真与验证数字硬件电路

数字电路中学到的逻辑电路功能,使用硬件描述语言(Verilog/VHDL)描述出来,这需要设计人员能够用硬件编程思维来编写代码,以及拥有扎实的数字电路功底。
2019-12-05 07:10:002977

数字系统设计与Verilog HDLPDF电子教材免费下载

 数字系统设计与VerilogHDL根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述数字系统开发的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog硬件描述
2019-10-29 08:00:00102

Verilog教程之Verilog HDL数字集成电路设计方法和基础知识课件

本文档的主要内容详细介绍的是Verilog教程之Verilog HDL数字集成电路设计方法和基础知识课件
2020-12-09 11:24:1952

Verilog教程之Verilog HDL数字逻辑电路设计方法

在现阶段,作为设计人员熟练掌握 Verilog HDL程序设计的多样性和可综合性,是至关重要的。作为数字集成电路的基础,基本数字逻辑电路的设计是进行复杂电路的前提。本章通过对数字电路中基本逻辑电路的erilog HDL程序设计进行讲述,掌握基本逻辑电路的可综合性设计,为具有特定功能的复杂电路的设计打下基础
2020-12-09 11:24:0033

Verilog数字系统设计教程(第2版)

Verilog数字系统设计教程(第2版)免费下载。
2021-05-12 14:26:370

Verilog HDL verilog hdl和vhdl的区别

Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言。
2021-07-23 14:36:559909

数字IC设计入门(6)初识verilog

、韩国、美国等区域应用很普遍。本文简要地介绍国内数字电路设计普遍使用的Verilog语言。verilog是什么。Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言
2021-11-06 09:05:5715

【制作】基于金沙滩51单片机的电子跑表

基于金沙滩51单片机的电子跑表很久之前学51单片机的时候做的了,现在分享一下。基于金沙滩51单片机,很推荐这款单片机开发板,教程很好。零、完成功能本项目完成以下功能:时钟模式:在数码管上显示
2021-11-23 16:51:3810

Verilog数字系统设计——任务和函数一(斐波那契数列)

Verilog数字系统设计九任务和函数实验1文章目录Verilog数字系统设计九前言一、任务和函数的区别?二、编程1.要求:2.设计思路:3.采用任务方法实现:4.采用函数方法实现:总结前言
2021-12-05 19:06:099

使用Verilog/SystemVerilog硬件描述语言练习数字硬件设计

HDLBits 是一组小型电路设计习题集,使用 Verilog/SystemVerilog 硬件描述语言 (HDL) 练习数字硬件设计~
2022-08-31 09:06:591168

Verilog HDL高级数字设计

第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言
2022-11-03 09:02:562626

Verilog HDL数字集成电路设计原理与应用

Verilog HDL数字集成电路设计原理与应用(蔡觉平)西安电子科技大学出版社
2023-05-26 15:23:150

已全部加载完成