电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>半导体技术>工艺/制造>高通携手TSMC,继续28纳米工艺上合作

高通携手TSMC,继续28纳米工艺上合作

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

采用TSMC 28HPC / HPC + 工艺的Synopsys逻辑库和领先的EDA工具完美解决系统级芯片(SoC)设计

台湾积体电路制造公司(简称为台积电(TSMC))最近宣布了其第四个28nm工艺进入了量产 - 28HPC Plus(即28HPC +)。台积电(TSMC)的前两项28nm工艺(聚氮氧化硅28
2017-11-01 06:04:0023778

高通将推出28纳米工艺Krait系芯片

高通执行副总裁史蒂芬·莫林科夫(Steven Mollenkopf)终于证实,公司旗下首款采用28纳米制造工艺的产品将于今年年底到来!其实早前业内就已经有消息放出,暗示高通将于今年晚些时候才发
2011-07-23 09:14:133258

Cadence携手TSMC开发3D IC设计基础架构

全球电子设计创新企业Cadence设计系统公司日前宣布其与TSMC在3D IC设计基础架构开发方面的合作
2012-06-11 09:47:431071

新思科技28纳米DesignWare IP赢得第100项设计

新思科技公司(Synopsys, Inc., 纳斯达克股票市场代码:SNPS)日前宣布: 该公司针对多家领先的晶圆代工厂优化的28纳米工艺DesignWare IP已赢得第100项设计。
2012-09-20 10:11:401139

28纳米为基础,赛灵思(Xilinx)20纳米继续超越

赛灵思的20纳米产品以备受市场肯定的28纳米制程突破性技术为基础,提供超越一个技术世代的系统效能、功耗和可编程系统整合度,继续超越下一代!
2012-12-03 09:48:01876

创新设立新里程碑 Altera和TSMC继续长期合作

Altera公司(NASDAQ: ALTR)和TSMC (TWSE: 2330, NYSE: TSM)今天再次强调双方将继续长期合作,为FPGA创新设立新里程碑。TSMC是Altera的主要代工
2013-02-26 16:16:58708

Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

Cadence设计系统公司(Cadence Design Systems, Inc.)(纳斯达克代码:CDNS)今日宣布与TSMC签订了一项长期合作协议,共同开发16纳米FinFET技术,以其适用于
2013-04-09 11:00:05798

携手TSMC 赛灵思稳猛打制程牌

赛灵思(Xilinx)营收表现持续看涨。赛灵思携手台积电,先将28纳米制程新产品效益极大化,而后将持续提高20纳米及16纳米FinFET制程比例,同时以FPGA、SoC及3D IC三大产品线创造5年以上的持续获利表现。赛灵思将可利用与台积电良好的合作关系,于先进制程竞赛中稳扎稳打,获得客户青睐。
2013-10-22 09:08:011144

7nm 来了! Xilinx宣布与TSMC开展7nm工艺合作

All Programmable 技术和器件的全球领先企业赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其与台积公司( TSMC)已经就7nm工艺和3D IC技术开展合作,共同打造其下一代All Programmable FPGA、MPSoC和3D IC。
2015-05-29 09:09:491802

中芯国际28纳米工艺制程 开启手机芯片制造新纪元

采用其28纳米工艺制程的 Qualcomm®骁龙™410处理器已成功应用于主流智能手机,这是28纳米核心芯片实现商业化应用的重要一步,开启了先进手机芯片制造落地中国的新纪元。
2015-08-11 07:54:462718

联电联手AVALANCHE 合作开发28纳米MRAM技术

据台湾经济日报最新消息,联电(2303)与下一代ST-MRAM(自旋转移力矩磁阻RAM)领导者美商Avalanche共同宣布,合作技术开发MRAM及相关28纳米产品;联电即日起透过授权,提供客户具有成本效益的28纳米嵌入式非挥发性MRAM技术。
2018-08-09 10:38:123129

95纳米eNVM工艺安徽平台制胜8位MCU大时代市场怎么样

(Microcontroller Unit, MCU)市场,最新推出95纳米单绝缘栅非易失性嵌入式存储器(95纳米5V SG eNVM)工艺平台。在保证产品稳定性能的同时,95纳米5V SG eNVM工艺平台以其低功耗、低成本
2017-08-31 10:25:23

TSMC350nm的工艺库是不是不太适合做LC-VCO啊?

想问一下,TSMC350nm的工艺库是不是不太适合做LC-VCO啊,库里就一个电容能选的,也没有电感可以选。(因为课程提供的工艺库就只有这个350nm的,想做LC-VCO感觉又不太适合,好像只能做ring-VCO了)请问350nm有RF工艺嘛,或者您有什么其他的工艺推荐?
2021-06-24 08:06:46

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?

工艺TSMC0.18um和TSMC0.18umrf有什么区别呢?求大神解答
2021-06-23 07:33:12

ADC与DAC工艺节点案例分析

工艺节点中设计,但是 FD-SOI 技术提供最低的功率,同时可以承受辐射效应。与体 CMOS 工艺相比,28 纳米 FD-SOI 芯片的功耗将降低 70%。射频数据转换器需要同时具有带宽和低功耗,以
2023-02-07 14:11:25

《炬丰科技-半导体工艺》GaN 纳米线制造和单光子发射器器件应用的蚀刻工艺

`书籍:《炬丰科技-半导体工艺》文章:GaN 纳米线制造和单光子发射器器件应用的蚀刻工艺编号:JFSJ-21-045作者:炬丰科技网址:http://www.wetsemi.com
2021-07-08 13:11:24

两公司合作开发纳米管生物传感器

  Nano-Proprietary旗下的Applied Nanotech公司与Funai Electric先进应用技术研究所日前宣布,双方将针对一个研究项目进行合作,共同开发基于酶涂层碳纳米
2018-11-19 15:20:44

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2年一次?
2021-02-01 07:54:00

使用UMC 28HPC工艺进行MC仿真有什么问题吗?

最近在使用UMC 28HPC工艺进行MC仿真,发现两个问题:1. MC仿真结果的std(标准差)和实测结果不match,实测结果大概为仿真结果的3倍。查看了一下U28HPC的model file
2021-06-25 06:40:16

如何判定纳米防水防潮技术经使用在产品

有颜色吗回答:一般0-200纳米无任何颜色,200纳米以上有可能有彩虹,可能是产品表面不平整反射导致,也要看看不同工艺。问题四:什么是纳米防水防潮膜的指标。回答:水滴角与膜厚。其他性能下期继续。[url=]更多操作[/url]
2018-09-28 23:44:17

如何利用赛灵思28纳米工艺加速平台开发?

一半,而性能提高两倍。通过选择一个高性能低功耗的工艺技术,一个覆盖所有产品系列的、统一的、可扩展的架构,以及创新的工具,赛灵思将最大限度地发挥 28 纳米技术的价值, 为客户提供具备 ASIC 级功能
2019-08-09 07:27:00

怎么处理TSMC65GP工艺蒙特卡洛仿真model选择问题?

        我在使用TSMC 65GP 工艺 跑蒙特卡洛仿真,我只用了lvt的N管和P管,MODEL里面我把所有带lvt的都选了,还是遇到这个问题,有大神可以帮忙一下吗
2021-06-24 07:08:35

TSMC90nm的工艺库,请问可以分享一下吗?

TSMC90nm的工艺库,请问可以分享一下吗?
2021-06-22 06:21:52

爱立信与合作正式拨通全球首个5G电话

实验室呼叫是我们与爱立信持续推动创新与合作的又一例证,我们期待与他们继续携手引领行业的下一个里程碑,并在2019年年初实现5G网络和移动终端的商用部署。”
2018-09-11 08:18:22

环保纳米新材料

,7项专利已经受理,5项专利正在申请中。目前拥有国内领先、部分产品国际领先的生产工艺纯超细氧化铝、5n氧化铝、超活性纳米二氧化钛、纳米氧化钛、纳米氧化锆、纳米氧化铝、纳米氧化锌、纳米氧化铈
2011-11-12 09:57:00

请大神解释一下28nm下是没有MIM电容了吗?

nch_dnw,mom电容等)的工艺文档说明在哪个路径下?之前65nm的文档在/PDK_doc/TSMC_DOC_WM/model文件夹下,28nm的好像没有?
2021-06-24 06:18:43

请教各位大佬BCD工艺和mixsignal工艺的区别在什么地方?

请教各位大佬TSMC0.18um中,BCD工艺和mixsignal工艺的区别,除了mos结构上会有hvnw和nbl隔离之外,还有其他的吗
2021-06-25 07:08:49

英飞凌、TSMC扩大合作携手65纳米嵌入式闪存工艺

英飞凌、TSMC扩大合作携手65纳米嵌入式闪存工艺 英飞凌科技股份公司与台湾积体电路制造股份有限公司近日共同宣布,双方将在研发和生产领域扩大合作携手开发
2009-11-10 09:02:381977

微捷码推出28纳米28纳米以下IP特征表征新标准

微捷码推出28纳米28纳米以下IP特征表征新标准  微捷码(Magma®)设计自动化有限公司(纳斯达克代码:LAVA)日前宣布推出业界标准SiliconSmart产品线新产品——
2009-12-18 09:51:50907

台积电与富士通合作开发28纳米芯片

台积电与富士通合作开发28纳米芯片 据台湾媒体报道,富士通旗下富士通微电子近期将派遣10到15名工程师与台积电合作开发28纳米芯片,台积电预计今年底将出货富士
2010-01-14 09:10:17812

台积电与联电大客户赛灵思合作28纳米产品

台积电与联电大客户赛灵思合作28纳米产品 外电引用分析师资讯指出,联电大客户赛灵思(Xilinx)3月可能宣布与台积电展开28纳米制程合作;台积电28纳米已确定取得富
2010-01-19 15:59:551058

28nm器件三大创新,Altera期待超越摩尔定律

28nm器件三大创新,Altera期待超越摩尔定律 随着TSMC 28nm全节点工艺即将量产,其合作伙伴Altera日前宣布了其产品线将转向28nm节点的策略部署。据了解,TSMC 28nm全节点有
2010-02-05 08:53:36732

赛灵思宣布采用 28 纳米工艺加速平台开发

赛灵思宣布采用 28 纳米工艺加速平台开发  全球可编程逻辑解决方案领导厂商赛灵思公司 (Xilinx Inc.  ) 今天宣布,为推进可编程势在必行之必然趋势,正对系统工
2010-02-23 11:16:21383

三星扩大和赛灵思合作28纳米制程

三星扩大和赛灵思合作28纳米制程 据韩联社(Yonhap)报导,全球最大计算机存储器制造商三星电子(Samsung Electronics)将和可编程逻辑IC龙头FPGA业者赛
2010-02-24 09:32:42464

TSMC推出最新深亚微米互通式EDA格式

TSMC推出最新深亚微米互通式EDA格式 TSMC 7日宣布针对65纳米、40纳米28纳米工艺推出已统合且可交互操作的多项电子设计自动化(Electronic Design Automatio
2010-04-09 10:36:49672

高通首款基于28纳米工艺的Snapdragon芯片组MSM8

  近期,高通公司宣布将推出首款基于28纳米工艺的Snapdragon芯片组MSM8960并宣布此芯片组将于2011财年开始出样。基于28纳米工艺的该芯片组采用新的CPU内核为特征,主要针对高端
2010-11-24 09:19:571471

微捷码32/28纳米低功耗工艺层次化参考流程

微捷码(Magma®)设计自动化有限公司日前宣布,一款经过验证的支持Common Platform™联盟32/28纳米低功耗工艺技术的层次化RTL-to-GDSII参考流程正式面市。
2011-01-26 09:44:09894

微捷码QCP提取器通过台积电28纳米设计质量检验

微捷码QCP提取器已被台积电(TSMC)纳入其季度28纳米集成电路(IC)EDA质量检验报告中。这次质量检验让设计师们对采用QCP解决台积电28纳米工艺IC日益提高的复杂性问题更有信心。
2011-07-15 08:39:06877

中国采用28纳米技术开发芯片

中国顶尖设计公司已经采用28纳米尖端技术开发芯片,而本地9.2%无晶圆厂半导体公司亦采用先进的45纳米或以下的工艺技术进行设计及大规模量产
2011-09-13 09:00:403212

TSMC拟大幅提升28nm晶元售价

虽然TSMC对于旗下28nm工艺依然保持着较为保守的态度,但是根据近期非官方的报道,由于来自官户的需求不断提升,TSMC将会对28nm晶元进行提价。
2011-09-16 09:30:03955

ARM与联电拓展长期IP合作伙伴关系至28纳米

ARM公司与全球领先的半导体晶圆代工商联电近日共同宣布达成长期合作协议,将为联电的客户提供已经通过联电28HPM工艺技术验证的ARM Artisan物理IP解决方案。这项最新的28纳米工艺技术的
2011-10-13 09:32:44631

ARM和台积电完成首个20纳米Cortex-A15处理器设计

ARM与台湾晶圆代工大厂台积电(TSMC)日前共同宣布,双方已顺利合作完成首件采用20纳米工艺技术生产的ARM Cortex-A15处理器设计定案(Tape Out)。藉由台积电在开放创新平台上建构完成的20纳米
2011-10-24 09:32:56854

Synopsys推出可用于TSMC 28纳米工艺的DesignWare嵌入式存储器和逻辑库

新思科技有限公司(Synopsys, Inc., 纳斯达克股票市场代码:SNPS)日前宣布:即日起推出其用于台湾积体电路制造股份有限公司(TSMC28纳米高性能(HP)和移动高性能(HPM)工艺技术的
2012-02-22 14:04:27754

珠海全志科技采用TSMC 55nm“半世代”工艺成功推出A10芯片平台

  珠海全志科技与TSMC今(26)日共同宣布,成功推出采用TSMC55纳米工艺生产的A10系列系统整合芯片(SoC)平台,藉由搭配珠海全志科技全新的Android 4.0.3 软件开发工具包(Software Devel
2012-03-27 08:52:402408

中芯国际与IBM达成28纳米技术合作

 3月29日上午消息,中芯国际宣布公司与IBM于2012年3月28日签订一项协议,双方将就行业兼容28纳米技术的要素进行合作
2012-03-29 12:46:53796

苹果合作伙伴台积电TSMC加速量产28纳米芯片

台积电TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37830

TSMC 28纳米Cortex-A9测试芯片超越3GHz主频

TSMC今(3)日宣布,采用28纳米高效能工艺生产的ARM® Cortex-A9双核心处理器测试芯片在常态下的处理速度高达3.1GHz。
2012-05-04 08:54:331910

TSMC 28nm产能将优先提供NVIDIA使用

  TSMC28nm的产能,目前仍旧无法满足Qualcomm、AMD以及NVIDIA三家客户,似乎已经是不争的实施。
2012-05-15 08:37:20652

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,台积电会继续先进工艺技术节点的投入和开发,今年年底台积电将推出20nm工艺
2012-08-30 14:34:301782

TSMC授予Cadence两项“年度合作伙伴”奖项

电子发烧友网讯: TSMC授予Cadence两项年度合作伙伴奖项,两项大奖表彰Cadence在帮助客户加快设计的3D-IC CoWoS技术与20纳米参考流程方面的重要贡献。 TSMC授予全球电子设计创新领先企业
2012-11-07 11:48:07928

联华电子与SuVolta宣布联合开发28纳米低功耗工艺技术

日前,联华电子与SuVolta公司宣布联合开发28纳米工艺技术,该工艺将SuVolta的SuVolta的Deeply Depleted Channel晶体管技术集成到联华电子的28纳米High-K/Metal Gate高效能移动工艺
2013-07-25 10:10:521049

TSMC和Synopsys携手将定制设计扩展到16纳米节点

FinFET制程的设计规则手册(DRM)第0.5版的认证,同时从即刻起可以提供一套TSMC 16-nm可互通制程设计套件(iPDK)。凭借其对iPDK标准强大的支持,Synopsys的Laker定制解决方案为用户提供了从180-nm到16-nm的多种TSMC工艺技术的全面对接。
2013-09-23 14:45:301050

ARM与联华电子达成最新的28HPC POP工艺合作,扩大28纳米IP领先地位

  2016年2月5日,北京讯——ARM 宣布,从即日起全球晶圆专工领导者联华电子(UMC)的28纳米28HPCU工艺可采用ARM® Artisan® 物理IP平台和ARM POP™ IP。
2016-02-15 11:17:49896

Mentor Graphics增强对TSMC 7纳米工艺设计开发和10纳米工艺量产的支援

FastSPICE™ (AFS) 平台。除此之外,Calibre 和 Analog FastSPICE 平台已可应用在基于TSMC 7 纳米 FinFET 工艺最新设计规则手册 (DRM) 和 SPICE 模型的初期设计开发和 IP 设计。
2016-03-24 11:13:19816

Cadence 与 SMIC 联合发布低功耗 28纳米数字设计参考流程

“我们与 Cadence 密切合作开发参考流程,帮助我们的客户加快其差异化的低功耗、高性能芯片的设计,”中芯国际设计服务中心资深副总裁汤天申博士表示,“Cadence创新的数字实现工具与中芯国际28纳米工艺的紧密结合,能够帮助设计团队将28纳米设计达到更低的功耗以及更快的量产化。”
2016-06-08 16:09:562242

台积电张忠谋谈大陆28nm工艺:增长很快

已经量产了28nm工艺TSMC董事长张忠谋日前谈到了大陆28nm工艺的竞争,他表示大陆公司的28nm产能增长很快,其中有部分原因是政府背后支持。
2016-10-27 14:15:521538

震撼!Xilinx宣布与TSMC开展7nm工艺合作

确保连续四代全可编程技术及多节点扩展的领先优势四代先进工艺技术和3D IC以及第四代FinFET技术合作 2015年5月28日, 中国北京 - All Programmable 技术和器件的全球领先
2017-02-09 03:48:04198

中芯国际要研发更先进制程工艺 台积电一员大将可能加入中芯国际

中芯国际是全球芯片代工行业中的四大厂商之一。然而,目前,中芯国际投入量产的最先进的制程工艺28纳米PolySiON工艺。并且,中芯国际仍需对高端的28纳米HKMG工艺继续深入探究。 中芯国际是全球
2017-04-26 10:05:11712

4巨头强强联手合作开发7纳米工艺CCIX测试芯片

赛灵思、Arm、Cadence和台积公司今日宣布一项合作,将共同构建首款基于台积7纳米FinFET工艺的支持芯片间缓存一致性(CCIX)的加速器测试芯片,并计划在2018年交付
2017-09-23 10:32:124003

7纳米工艺成本高难度大 2018年只有三星苹果手机搭载

7纳米工艺将成为明年的重点制程工艺,但受成本太高的原因,据悉明年仅三星苹果两家手机继续采用7纳米处理器。高通没有采用台积电最新的7纳米工艺,会继续延用三星电子的10纳米工艺
2017-12-14 08:59:366197

联芯28纳米HKMG试产良率达98% 国内最先进的28纳米晶圆工艺

位于厦门火炬高新区的联芯集成电路制造(厦门)有限公司日前传来喜讯,已于今年2月成功试产采用28纳米High-K/Metal Gate 工艺制程的客户产品,试产良率高达 98%。这是该公司28纳米
2018-03-31 15:28:5011192

Credo于TSMC 2018南京OIP研讨会首次公开展示7纳米工艺结点112G SerDes

Credo 在2016年展示了其独特的28纳米工艺节点下的混合讯号112G PAM4 SerDes技术来实现低功耗100G光模块,并且快速地跃进至16纳米工艺结点来提供创新且互补的112G连接
2018-10-30 11:11:125204

华力28纳米低功耗工艺平台芯片进入量产阶段

近日,华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2018-12-12 15:15:012029

基于上海华力28纳米低功耗工艺平台处理芯片成功量产

12月11日,华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2018-12-14 15:47:303159

上海华力28纳米低功耗工艺进入量产

华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2019-01-01 15:13:003780

基于上海华力28纳米低功耗工艺平台的芯片进入量产

华虹集团旗下上海华力与联发科技股份有限公司共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一——基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2019-01-07 14:15:453224

智原科技28/40纳米单芯片ASIC设计量三年倍增

28纳米与40纳米为目前半导体市场上的主流工艺,无论是IP、光罩与晶圆等技术均趋于稳定成熟,成本大幅低于FinFET工艺
2019-09-19 14:43:291446

瑞萨与台积电将合作开发28nm纳米嵌入式闪存制程技术

瑞萨电子与台积电共同宣布,双方合作开发28纳米嵌入式闪存(eFlash)制程技术,以生产支持新一代环保汽车与自动驾驶汽车的微控制器(MCU)。
2019-11-29 11:13:162164

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

Cadence 和 TSMC 联手进行 N3 和 N4 工艺技术合作, 加速赋能移动、人工智能和超大规模计算创新 双方共同客户现可广泛使用已经认证的 N3 和 N4 流程 PDK 进行设计 完整
2021-10-26 15:10:581928

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-03-14 19:21:550

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-03-16 19:25:461

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-03-16 19:26:220

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-03-16 19:26:321

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-03-16 19:31:220

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-03-16 19:31:530

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-03-16 19:32:060

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-03-16 19:32:200

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-03-16 19:32:490

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-03-16 19:34:540

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

已全部加载完成