电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>模拟技术>Use high-performance simultane

Use high-performance simultane

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

16位高性能隔离数据采集(DAQ)解决方案

描述The circuit represents a high-performance data acquisition (DAQ) solution suitable for processing
2018-12-24 15:10:38

740族C编译程序包V.1.01

740族C编译程序包是由IAR Systems公司产的C编译程序ICC740 (以下省略为ICC740) 和High-performance Embedded Workshop 组成的开发环境系统
2011-03-07 16:47:33

82350A数据表

The Keysight 82350A is a low-cost, high-performance, high-speed IEEE-488 interface and software
2019-06-06 15:44:35

8719D/20D/22D手册

Keysight Technologies' family of high-performance 8720D microwave network analyzers brings together
2019-04-24 14:07:53

AG16K(+MCU) Device相关资料推荐

with low-cost and high-performance SoC. The device features inte
2021-11-04 06:58:03

Designing High-Performance Video Systems with the AXI Interconnect

Designing High-Performance Video Systems with the AXI InterconnectHigh-performance video systems
2012-01-26 18:57:03

E1420B高性能VXI通用计数器技术资料

This document provides technical specifications and related information about the E1420B High-Performance VXI Universal Counter.
2019-09-19 12:11:16

HIP5061 HIGH EFFICIENCY, HIGH PERFORMANCE,HIGH POWER CONVERTER

efficiency, high performance andhigh power converters continues to expand. Demand forsmaller, lighter
2009-05-12 11:13:19

Interfacing SDRAM Memory on High Performance Microcontrollers

to the performance microcontroller TM4C129XNCZAD. The implementation is made possible by using the EPI Interface
2015-04-08 10:33:35

J-BERT N4903A高性能串行BERT手册

Brochure for the Keysight J-BERT N4903A High-Performance Serial BERT: 4 pages
2019-09-26 12:17:52

Keysight 16998A高性能FPGA分析仪数字系统调试

The 16998A High-performance FPGA Analyzer is a bundle of products that simplifies the debug of digital systems incorporating Xilinx and Altera FPGAs.
2019-10-30 09:12:02

LTE和LTE-Advanced解决方案

This brochure details how to accelerate your cellular wireless design and test with flexible high-performance platforms.
2018-10-09 18:15:52

SM320F28335PTPS高温数字信号控制器 订货

, high-performance solution for demanding control applications.Throughout this document, the device
2018-10-17 10:37:38

STM32F103C8T6单片机简介 精选资料分享

The STM32F103xx medium-density performance line family incorporates the high-performance
2021-07-16 08:13:35

Synthesiable High Performance SDRAM Contoller

Synthesiable High Performance SDRAM ContollerSynchronous DRAMs are available in speed grades above
2009-12-17 17:02:58

Wallys launches high-performance Tri-Band solutions/ipq4019+qca9882.

It is commonly used in high-performance networking devices, including routers and access points.
2023-06-08 09:59:06

High Performance AC Drives Modelling Analysis and Control》总结 精选资料分享

High Performance AC Drives Modelling Analysis and Control》总结恒转矩系统:提升机,传输机,活塞式压缩机。转矩与转速成比例;风机,压缩机
2021-08-31 08:26:42

在Windows 10中启用最终性能电源计划相关资料下载

built upon the High-Performance power scheme but tries to eke out every little bit of performance possi...
2021-12-31 08:25:02

用于增强旋转支架伺服性能的高性能伺服控制系统

This paper describes a high-performance Servo control system for enhancement of spin stand Servo performance.
2019-11-04 08:19:20

用于要求苛刻的光学应用的精密光束控制分束器

High-performance beamsplitters offers industry-leading polarization and beam control with low wavefront distortion
2019-10-31 14:10:43

通过C6678 DSP实现实时合成孔径雷达设计方案

development platform, using theTMS320C6678 high-performance DSP based on TI's C66x(...)
2018-09-17 08:33:35

32MCU – SAM product line High-performance

32MCU – SAM product line High-performance - CM7 seriesUpto 300MHz, 512-2048KB FLASH, 256-384KB
2021-11-03 09:02:04

opa1632 pdf datasheet (High-Pe

The OPA1632 is a fully-differential amplifier designedfor driving high-performance audio
2008-08-06 15:51:5312

MAXQ1103 pdf datasheet (High-P

The MAXQ1103 microcontroller is a low-power, 32-bitRISC device that combines high-performance
2008-09-01 08:18:216

MAX2027 pdf datasheet (digital

The MAX2027 high-performance, digitally controlled variable-gain amplifier is designed for use from
2009-02-16 16:42:4421

High Performance DSP Solutions

High DSP Performance Platform– The DSP48E Slice– Essential DSP Building Blocks• Imaging Algorithms
2009-04-09 22:05:3112

high performance stereo routin

high performance stereo routing switcher
2009-04-18 20:37:2348

High-Performance 16-Point Comp

High-Performance 16-Point Complex FFT •This document is (c) Xilinx, Inc. 1999. No part
2009-05-14 11:00:2815

Designing a High Performance S

Designing a High Performance SDRAM Controller Using ispMACH Devices Synchronous DRAMs have become
2009-05-14 11:03:4228

NE5532 NE5533 NE5534在音频电路中的应用

in some audio applications.The 5532 is a dual high-performance low noise operational amplifier.Compared to most of the standard opera
2009-06-03 13:59:41498

Designing a High Performance S

Designing a High Performance SDRAM Controller Using ispMACH Devices Synchronous DRAMs have become
2009-06-14 08:55:3124

High-performance RF design for

High-performance RF design for PXI:PXI presents a significant opportunity for RF instrumentation.
2009-07-23 22:50:2511

TI处理器SMJ320C6203

Military Operating temperature range (C) -55 to 125 High-Performance Fixed-Po
2022-12-14 14:41:41

High Performance Radiation Har

High Perfo
2009-09-23 19:39:0515

High Performance CMOS Imagers

High Performance CMOS Imagers and Higher IntegrationAs the CMOS imager becomes more specialized
2009-09-23 23:05:177

LM3875 ,pdf datasheet (High-Pe

The LM3875 is a high-performance audio power amplifiercapable of delivering 56W of continuous
2009-10-07 09:39:1215

SS1203 High Performance Curren

for AC/DCconverter with high performance versus cost ratio. It provides continuous output power up to 12W in the broad voltage range of 85V
2009-12-10 14:55:0419

QPQ1031Q是一款多路复用器

    The QPQ1031Q is a compact, high-performance multiplexer module fabricated
2023-05-16 15:32:33

High-performance DSP Implement

Implementing DSP algorithms into silicon is traditionally a challenging,tedious, error-prone process. Algorithm design favors a higher level ofmathematical and statistical modeling in contrast to RTL logic designwhich focuses o
2010-01-28 23:12:026

Designing High-Performance DSP

Today’s class of high-performance FPGAs, such as the Altera® Stratix® III device, provide
2010-02-25 23:37:1310

USIP High-Performance, Secure,

The USIP™ Professional IC (USIP PRO) is a secure microcontroller designed to provide an interoperable, secure, and cost-effective environment for new generations of trusted devices. This secure platform is fully compliant with EMV&re
2010-02-28 19:41:1616

OB3318:High Performance CCFL C

OB3318 is a high performance Cold Cathode Fluorescent Lamp (CCFL) backlight controller IC dedicated
2010-03-01 15:34:5034

Using LME49810 to Build a High-Performance Power Amplifier

Using LME49810 to Build a High-Performance Power Amplifier –Part I:Although switching
2010-04-10 22:16:2350

HPS1007X HIGH PERFORMANCE LED

HPS1007X HIGH PERFORMANCE LED POWER SUPPLY 􀁹 Wide input & output voltage
2010-04-19 15:31:0213

ADS1282-HT,pdf (High Resolutio

The ADS1282 is an extremely high-performance, single-chip analog-to-digital converter (ADC
2010-06-02 14:55:5422

ADS1282,pdf (High-Resolution A

The ADS1282 is an extremely high-performance, single-chip analog-to-digital converter (ADC
2010-06-02 15:03:2139

PCM4220,pdf(High-Performance,

The PCM4220 is a high-performance, two-channel analog-to-digital (A/D) converter designed for use
2010-06-13 10:38:2422

PCM4222,pdf(High-Performance,

The PCM4222 is a high-performance, two-channel analog-to-digital (A/D) converter designed for use
2010-06-13 10:42:2027

NOEL-V A new high-performance RISC-V processor family 1

AMIProcessorRISC-V
RISCV国际基金会发布于 2022-09-06 09:53:34

NOEL-V A new high-performance RISC-V processor family 2

AMIProcessorRISC-V
RISCV国际基金会发布于 2022-09-06 09:55:28

PCM4104,pdf(PCM4104: High-Perf

The PCM4104 is a high-performance, four-channel digital-to-analog (D/A) converter designed for use
2010-06-26 13:48:0530

ATF1508AS,ATF1508ASL,pdf(High-

Features• High-density, High-performance, Electrically-erasable ComplexProgrammable Logic
2010-06-30 22:24:1421

A High-Performance Data-Path f

Abstract A high-performance data-path to implement DSP kernels is introduced in this paper.
2010-07-01 15:44:4027

74ACT11139,pdf(Dual 2-Line To

The 74ACT11139 is designed for use in high-performance memory-decoding or data-routing applications
2010-08-11 23:16:554

SN54ALS139,SN74ALS139,PDF(Dual

in high-performance memory-decoding or data-routing applications requiring very short propagation delay times. In high-performance memory systems, thes
2010-08-12 16:26:1115

SN54LS138,SN54S138,SN74LS138,S

or data-routing applications requiring very short propagation delay times. In high-performance memory systems, these decoders can be use
2010-08-12 17:29:128

SN54LS139A,SN54S139,SN74LS139A

or data-routing applications requiring very short propagation delay times. In high-performance memory systems, these decoders can be use
2010-08-12 17:33:3321

GENESYS 7 Installation Guide

Thank you for purchasing Eagleware software. We have a proudtradition of high-performance
2010-08-22 11:11:3611

MC33078,pdf(DUAL HIGH-SPEED LO

The MC33078 is a bipolar dual operational amplifier with high-performance specifications for use
2010-09-17 04:13:1826

RC4559,pdf(Dual High-Performan

The RC4559 is a dual high-performance operational amplifier. The high common-mode input voltage
2010-09-17 04:47:2311

INA337,INA338,pdf(High-Tempera

The INA337 and INA338 (with shutdown) are high temperature, high-performance, low-cost, precision
2010-09-24 21:21:4015

VCA8613,pdf(8-Channel Variable

applications. Excellent dynamic performance enables use in low-power, high-performance portable applications. Each channel consists of a Low-Noise
2010-09-27 21:13:1513

VCA8617,pdf(Eight-Channel Vari

applications. Excellent dynamic performance enables use in low-power, high-performance portable applications. Each channel consists of a 20dB gain
2010-09-27 21:14:5121

TPS92020,pdf(Resonant-Switchin

The TPS92020 is a high-performance resonant-switching LED driver controller. It is designed for use
2010-09-29 01:03:1815

BQ26221,pdf(High-Performance B

The bq26221 is an advanced battery monitoring device designed to accurately measure the charge and discharge currents in rechargeable battery packs. Intended for pack integration, the bq26221 contains all the necessary functions to form
2010-11-04 23:51:1910

BQ26220,pdf(High-Performance B

The bq26220 is an advanced battery monitoring device designed to accurately measure the charge and discharge currents in rechargeable battery packs. Intended for pack integration, the bq26220 contains all the necessary functions to form
2010-11-05 00:07:2314

BQ26200,pdf(High-Performance B

The bq26200 is an advanced battery monitoring IC designed to accurately measure the charge and discharge currents in rechargeable battery packs. Intended for pack integration, the bq26200 contains all the necessary functions to form the
2010-11-05 00:13:0924

PTN78020A,pdf(25-W Wide Input

), that represent the third generation in the evolution of high-performance power modules designed for industrial use. The wide-input voltage ra
2010-11-18 12:16:0711

PTN78020W,PTN78020H,pdf(6-A Wi

), that represent the third generation in the evolution of high-performance power modules designed for industrial use. The wide-input voltage ra
2010-11-18 21:14:3637

High-Performance, 32-Bit Micro

High-Performance, 32-Bit Microcontroller Integrates Security Supervisor and Cryptographic Functionality for Financial Applications The
2008-09-24 17:02:281168

DS1875 Trends in High-Performa

Trends in High-Performance Power-Supply Design for Automotive Infotainment Abstract: 
2008-12-16 10:42:341168

High-Performance Level-Shifter

High-Performance Level-Shifter Solution for LCD TVs with Gate-in-Panel (GIP) Technology
2009-02-02 16:05:311243

Design Guidelines for High-Per

Abstract: This application note will help the designer of a high-performance multichannel
2009-04-16 16:06:21949

Approaches for Compensating Sp

of high-performance calculating engines for digital-sensor signal processing (DSSP) has recently made such architectures available for use in p
2009-04-20 16:23:44799

Design Guidelines for High-Per

Abstract: This application note will help the designer of a high-performance, multichannel
2009-05-07 10:49:441182

MAX5965A, MAX5965B High-perfor

MAX5965A, MAX5965B High-performance, monolithic quad PSE controller enables IEEE 802.3at (PoE+) applications The MAX5965 meets IE
2009-08-11 11:56:411227

How to Use High-Voltage and Hi

How to Use High-Voltage and High-Current-Drive Op Amps in 4–20mA Current-Loop Systems Abstract
2010-02-21 11:45:051576

MAX19790 High-performance RF V

MAX19790 H
2010-07-11 14:41:522519

MC9S08LC60,pdf(low-cost, high-performance HCS08)

MC9S08LC60 Series MCUs are members of the low-cost, high-performance HCS08 Family
2011-05-12 15:14:5235

DS31415 datesheet(high-performance timing IC)

The DS31415 is a flexible, high-performance timing IC for diverse frequency conversion
2011-08-01 16:27:0425

MAX2079 pdf datasheet_Octal Ultrasound Receiver

, high-performance portable and cart-based ultrasound systems. The easy-to-use integrated receiver allows the user to achieve high-end 2D and Doppler
2012-01-19 16:16:3128

HA17741——通用运算放大器

The HA17741/PS is an internal phase compensation high-performance operational amplifier
2015-11-30 18:28:2176

基于TQQ0041下的Band 41 Receive BAW Filter Datasheet

The TQQ0041 is a high-performance Bulk Acoustic Wave (BAW) Rx filter designed to meet the strict LTE rejection requirements for use in B41.
2018-08-03 11:28:001

基于856879 下的782/751 MHz Duplexer

 The 856879 is a high-performance Temperature Compensated Surface Acoustic Wave (TC SAW) duplexer
2018-08-06 11:29:003

基于857181下的 782/751 MHz Duplexer

The 857181 is a high-performance Temperature Compensated Surface Acoustic Wave (TC SAW) duplexer
2018-08-06 11:29:001

基于TQM976027下的Band 7 BAW Duplexer

The TQM976027 is a high-performance Bulk Acoustic Wave (BAW) duplexer designed to meet the strict LTE requirements for use in Band 7 applications.
2018-08-03 11:29:003

开源R生态系统中高性能计算的现状

A history and current use of the R language on high-performance computing (HPC) resources.
2018-10-19 07:03:002117

Python是否和本地代码一样快

for being too slow for high-performance, compute-intensive applications.
2018-10-31 06:16:00943

AD9984A: High Performance 10-Bit Display Interface Data Sheet

AD9984A: High Performance 10-Bit Display Interface Data Sheet
2021-01-28 02:21:3912

充电桩 OBC DCDC—High Performance Solution for EV Char

充电桩 OBC DCDC—High Performance Solution for EV Char(笔记本电源电压缓慢上升)-充电桩、OBC、DCDC—High Performance Solution for EV Charging
2021-07-26 14:32:4863

E1/E20 Emulator Additional Document for 用户手册(High-performance Embedded Workshop RX Debug) Rev.1.00

E1/E20 Emulator Additional Document for 用户手册 (High-performance Embedded Workshop RX Debug) Rev.1.00
2023-04-04 19:33:490

[High-performance Embedded Workshop] RX Family C/C++ Compiler Package V.1.01 用户手册(includes V.1.02supplementary documents)

[High-performance Embedded Workshop] RX Family C/C++ Compiler Package V.1.01 用户手册 (includes V.1.02 supplementary documents)
2023-04-17 19:39:190

High-performance Embedded Workshop V.4.09 用户手册 Rev.1.00

High-performance Embedded Workshop V.4.09 用户手册 Rev.1.00
2023-04-17 19:49:230

[High-performance Embedded Workshop] RX Family Simulator Debugger V.1.02用户手册 Rev.1.00

[High-performance Embedded Workshop] RX Family Simulator Debugger V.1.02 用户手册 Rev.1.00
2023-04-17 19:59:270

High-performance Embedded Workshop HewTargetServer 用户手册 Rev.8.00

High-performance Embedded Workshop HewTargetServer 用户手册 Rev.8.00
2023-04-18 18:37:120

High-performance Embedded Workshop V.4.08 用户手册

High-performance Embedded Workshop V.4.08 用户手册
2023-04-18 19:39:270

High-performance Embedded Workshop V.3补充文件(关于如何查看工具链版本)

High-performance Embedded Workshop V.3补充文件(关于如何查看工具链版本)
2023-05-11 20:16:480

High-performance Embedded Workshop V.3补充文件(关于如何查看工具链版本)

High-performance Embedded Workshop V.3补充文件(关于如何查看工具链版本)
2023-06-28 20:41:190

E1/E20 Emulator Additional Document for 用户手册(High-performance Embedded Workshop RX Debug) Rev.1.00

E1/E20 Emulator Additional Document for 用户手册 (High-performance Embedded Workshop RX Debug) Rev.1.00
2023-07-14 19:06:480

MAX30009: Low-Power, High-Performance Bioimpedance Analog Front-End Data Sheet MAX30009: Low-Power, High-Performance Bioimpedance Analog Fro

电子发烧友网为你提供ADI(ADI)MAX30009: Low-Power, High-Performance Bioimpedance Analog Front-End Data Sheet相关
2023-10-13 18:42:02

已全部加载完成